加入星計劃,您可以享受以下權益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴散
  • 作品版權保護
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • 芯片需求帶動半導體設備增長
    • 全球半導體設備市場規(guī)模和發(fā)展現(xiàn)狀
    • 中國半導體設備國產(chǎn)化加速,競爭力如何?
    • 寫在最后
  • 相關推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

2024 CSEAC:半導體設備國產(chǎn)化加速,競爭力在哪里?

10/15 11:00
4883
閱讀需 27 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

人工智能、汽車電子物聯(lián)網(wǎng)等行業(yè)的推動下,全球半導體產(chǎn)業(yè)雖面臨下行周期,但整體規(guī)模穩(wěn)中有增。

芯片需求帶動半導體設備增長

在2024中國半導體設備年會(以下簡稱“2024 CSEAC”)期間,高通公司中國區(qū)董事長孟璞分享了一系列數(shù)據(jù):2024年第二季度,全球半導體銷售總額達到近1500億美元,預計2024年全球半導體銷售額將增長16%至6112億美元,到2030年,全球半導體銷售額有望突破億萬美元。

圖 | 全球半導體晶圓產(chǎn)能,來源:SEMI World Fab Forecast

為了跟上芯片需求持續(xù)增長的步伐,全球半導體制造產(chǎn)能也在同步增加。根據(jù)SEMI World Fab Forecast的最新季度報告顯示,預計全球晶圓產(chǎn)能將在2024年提高6%,其中在數(shù)據(jù)中心訓練、推理和前沿設備的生成式人工智能(AI)的驅動下,5nm及以下節(jié)點的產(chǎn)能增量將達到13%。到2025年,全球晶圓產(chǎn)能將繼續(xù)提高7%,達到每月3370萬片晶圓(以8英寸當量計算)的歷史最高產(chǎn)能。

全球芯片產(chǎn)業(yè)體量越來越大,與此同時,在科技前沿領域中的大芯片集成電路發(fā)展的主要驅動力之一,先進工藝制造、新型計算架構、先進封裝技術,以及AI算法的稀疏化和低比特量化等成為下一步發(fā)展關鍵點。

對此,中國科學院微電子研究所所長、黨委書記戴博偉表示:“先進工藝制程發(fā)展速度趨緩,良率下降,成本顯著上升,但未來5-10年先進工藝制程仍是大算力芯片性能提升的重要推動力。與此同時,3D封裝將通過堆疊多個芯粒,實現(xiàn)芯片三維化,提升投影面積上的晶體管密度,而就3D封裝技術本身而言,從TSV(硅通孔)到W2W(晶圓-晶圓混合鍵合),再到D2W(芯片-晶圓混合鍵合),已經(jīng)逐步從初級階段的垂直互聯(lián)演進到高精度的異構集成,未來這種異構集成還將逐步向更靈活、更精確的解決方案過渡?!?/p>

此外,戴博偉還提到了晶上系統(tǒng)的概念,這是一種顛覆了傳統(tǒng)不同模塊之間的橫向供電,通過多芯粒垂直封裝,實現(xiàn)“邏輯、存儲、I/O、供電、散熱”等3D方向的集成。特斯拉Dojo就采用了類似的技術,相比英偉達的A100,面積提升了19.5倍,算力提升了29倍,存儲貸款提升了4.9倍。

在這樣的大背景下,半導體產(chǎn)業(yè)對半導體設備的需求同步攀升,除了體量的增長外,還有新工藝下對新設備的需求。

圖 | 全球300mm晶圓廠設備支出情況,來源:SEMI

根據(jù)統(tǒng)計,預計在2022年至2026年期間全球將有109座新晶圓廠投產(chǎn)。SEMI報告顯示,從2025年到2027年,全球300mm晶圓廠設備支出預計將達到創(chuàng)紀錄的4000億美元。其中,根據(jù)SEMI在《300mm晶圓廠2027年展望報告》中的預測,2024年全球用于前端設施的300mm晶圓廠設備支出將首次突破1000億美元,到2027年將達到1370億美元的歷史新高。

具體到地域,中國在未來四年將保持每年300億美元以上的投資規(guī)模,繼續(xù)引領全球晶圓廠設備支出。中國臺灣地區(qū)和韓國的芯片供應商也預計將提高相應的設備投資。中國臺灣地區(qū)的設備支出預計將從2024年的203億美元增加到2027年的280億美元,而韓國則將從2023年的195億美元增加到2027年的263億美元。

此外,美洲地區(qū)的300mm晶圓廠設備投資預計將增長一倍,從2024年的120億美元提高到2027年的247億美元。日本、歐洲和中東以及東南亞的支出預計也將持續(xù)增長,分別達到114億美元、112億美元和53億美元。

全球半導體設備市場規(guī)模和發(fā)展現(xiàn)狀

“縱觀全球半導體產(chǎn)業(yè)的發(fā)展歷程,經(jīng)歷了由美國(70-80年代)向日本(80年代后期)、向韓國和中國臺灣地區(qū)(90年代后期)及中國大陸(2017年開始)的幾輪產(chǎn)業(yè)轉移。半導體設備公司的興起與成長緊緊跟隨全球芯片制造中心的遷移。未來10年,中國將成為全球半導體芯片制造的中心?!?,中國電子專用設備工業(yè)協(xié)會半導體設備分會理事長、盛美半導體設備(上海)股份有限公司董事長王暉在CSEAC 2024開幕式上如是說。

圖 | 全球半導體設備市場規(guī)模(2022-2026F),來源:Gartner、ACM、與非網(wǎng)攝制

根據(jù)Gartner發(fā)布的最新數(shù)據(jù)顯示,2023年全球半導體設備市場規(guī)模為1029億美元,預計2024年將達到1049億美元,2026年將繼續(xù)攀升至1210億美元。

圖 | 中國大陸半導體設備銷售額高速增長,來源:CEPEA、ACM、與非研究院整理

此外,根據(jù)中國電子專用設備工業(yè)協(xié)會發(fā)布的數(shù)據(jù)顯示,近年來中國大陸半導體設備銷售額呈現(xiàn)高速增長態(tài)勢,已從2008年的17億元增長到了2023年887億元,年復合增長率達到了30.17%。

與此同時,當前全球半導體設備市場呈現(xiàn)出多元化的趨勢,但主要設備仍由少數(shù)幾家頭部企業(yè)主導。比如:在薄膜沉積設備領域,市場主要由應用材料(Applied Materials)、泛林半導體(Lam Research)和東京電子(Tokyo Electron)等國際巨頭占據(jù);在離子注入設備領域,市場由美國和日本的廠商壟斷,其中應用材料和泛林半導體是主要的供應商,在中國市場,離子注入設備進口依賴度相當高,僅科磊(KLA)一家就占據(jù)了過半市場份額;在量測設備領域,市場同樣呈現(xiàn)出高度壟斷的格局,科磊以超過50%的市場份額占據(jù)行業(yè)龍頭地位,應用材料和日立(Hitachi)位居其后;在涂膠顯影設備領域,東京電子和迪恩士(DNS)分別以90%以上和5%左右的市場份額幾乎壟斷市場,其中東京電子的CLEAN TRACK LITHIUS Pro Z設備支持10nm以下的工藝節(jié)點,適用于EUV和ArF浸沒式光刻系統(tǒng);在光刻機領域,ASML幾乎壟斷了高端市場,特別是在EUV光刻機領域,2023年,全球光刻機市場出貨量達到681臺,阿斯麥(ASML)、尼康(Nikon)和佳能(Canon)的市占率分別達到了82.14%、10.2%和7.65%。

綜上,中國大陸是全球半導體設備企業(yè)的重要銷售市場,且中國大陸地區(qū)的半導體設備國產(chǎn)化率還較低。

不過,根據(jù)中國國際招標網(wǎng)數(shù)據(jù)統(tǒng)計,2023年半導體國產(chǎn)設備中標國產(chǎn)率達到了46.4%。王暉認為,當前下游晶圓制造廠商也傾向于更多地采用國產(chǎn)半導體設備,半導體設備國產(chǎn)化正在加速。

對此,SEMI中國區(qū)Senior Director馮莉表示,中國的半導體產(chǎn)業(yè)自主率逐年攀升,從 2012 年的 14% 到 2022 年的 18%,預計 2027 年達到 26.6%,但仍存在 1460 億美金的巨大缺口。

中國半導體設備國產(chǎn)化加速,競爭力如何?

芯片制造流程很長,根據(jù)環(huán)節(jié)的不同大致可分為三大類:硅片制造、前道工藝和后道工藝。其中,硅片制造主要涵蓋拉單晶、磨外圓、切片、倒角、磨削/研磨、CMP(化學機械拋光)等一系列步驟;前道工藝主要涵蓋擴散、薄膜沉積、光刻、刻蝕、離子注入、CMP、金屬化、檢驗和清洗等一系列步驟;后道工藝主要涵蓋背面減薄、晶圓切割、貼片、引線鍵合、模型、切筋/成塑、FT(Final Test)等一系列步驟。

在這三大制造環(huán)節(jié)中,每一個步驟都要用到數(shù)種設備,因此半導體設備的種類也非常多,以前道工藝中的擴散工藝為例,會用到氧化爐、RTP(快速熱處理)設備、激光退火設備等;而薄膜沉積工藝所用設備則更多,包括CVD(化學氣相沉積)設備、PVD(物理氣相沉積)設備、RTP設備、ALD(原子層沉積)設備、氣相外延爐等。

圖 | 2023年半導體設備價值量占比情況,來源:iFind,與非研究院整理

據(jù)悉,在晶圓廠的資本開支中,大約20%-30%用于廠房建設,70%-80%用于設備投資。根據(jù)SEMI發(fā)布的數(shù)據(jù)顯示,前道設備投資量占半導體設備投資量的約80%,封裝和測試設備占比分別約為10%和8%。在占比最大的前道設備中,刻蝕設備、薄膜沉積設備和光刻機分別占前道設備價值量的22%、22%和17%

在所有的這些設備中,國產(chǎn)化程度高的有哪些,主要有哪些國產(chǎn)設備龍頭企業(yè)在跟進呢?

據(jù)悉,中國半導體設備廠商已覆蓋多個細分領域,其中在去膠、清洗、刻蝕設備方面國產(chǎn)化率較高,在CMP、熱處理、薄膜沉積設備上有所突破,而在量測、涂膠顯影、光刻、離子注入等設備上的國產(chǎn)化程度仍較低。

下面筆者整理了一些設備類型和相應頭部企業(yè)的名單情況。

  • 光刻機:上海微電子

目前,市面上比較成熟的光刻機根據(jù)光源的不同,可以分為紫外光源(UV)、深紫外光源(DUV)和極紫外光源(EUV),中國在用的最先進的光刻機就是采用ArF光源的DUV光刻機。

根據(jù)調(diào)研機構的數(shù)據(jù),光刻機國產(chǎn)化率僅2.5%,目前國內(nèi)企業(yè)中,上海微電子是中國第一家也是唯一家光刻機企業(yè),產(chǎn)品主要涉及ArF、KrF和i-line領域,其已具備90nm及以下的芯片制造能力,全球市占率不足1%。

上海微電子當前在售的光刻設備型號包括:SSX600系列光刻機、SSB500系列步進光刻機、 SSB300系列步進光刻機、SSB200小Mask系列曝光機、SSB200大Mask系列曝光機。

其中,SSX600系列光刻機是目前上海微電子產(chǎn)品線中較具代表性的先進產(chǎn)品型號,它采用四倍縮小倍率的投影物鏡、工藝自適應調(diào)焦調(diào)平技術,以及高速高精的自減振六自由度工件臺掩模臺技術,可滿足集成電路前道制造90nm、110nm、280nm關鍵層和非關鍵層的光刻工藝需求,可用于8寸線或12寸線大規(guī)模工業(yè)生產(chǎn)。

值得一提的是,今年9月公司披露了部分極紫外相關專利,該發(fā)明專利針對當前極紫外(EUV)光刻技術中的關鍵挑戰(zhàn),即極紫外光產(chǎn)生過程中伴隨的帶電粒子(如錫碎屑)污染問題,提出了一種創(chuàng)新性的解決方案。

除了用于集成電路前道工藝的SSX600系列光刻機外,另外四個系列的光刻設備則被用于先進封裝、FPD面板、MEMS、LED、Power Devices等制造領域。

  • 刻蝕設備:中微公司、北方華創(chuàng)、屹唐股份

隨著線寬的持續(xù)減小和3D集成電路的發(fā)展,刻蝕設備已躍居集成電路采購額最大的設備類型。

就中國企業(yè)在刻蝕設備市場中的地位而言,中微公司已打入5nm制程,北方華創(chuàng)、屹唐股份緊隨其后,但整體市占率還不高。

不過,根據(jù)中國海關進口數(shù)據(jù)顯示,2017年以來,刻蝕機進口數(shù)量在2021年達到巔峰,表明國產(chǎn)刻蝕設備逐漸滿足我國晶圓廠的生產(chǎn)需求。

就技術層面而言,中微公司在本土刻蝕設備企業(yè)中屬于領頭羊的角色,目前該公司針對邏輯和存儲芯片制造中最關鍵刻蝕工藝的多款設備已進入量產(chǎn)驗證階段,針對超高深寬比刻蝕的大功率400kHz偏壓射頻的PrimoUD-RIE已在生產(chǎn)線驗證出具有刻蝕≥60:1深寬比結構的量產(chǎn)能力,同時公司儲備更高深寬比結構(≥90:1)刻蝕的前衛(wèi)技術。此外,公司晶圓邊緣Bevel刻蝕設備完成開發(fā),即將進入客戶驗證。

  • 薄膜沉積設備:北方華創(chuàng)、拓荊科技、中微公司、微導納米、盛美上海

根據(jù)SEMI的數(shù)據(jù)顯示,2023年全球薄膜沉積設備市場規(guī)模約為211億美元,中國大陸薄膜沉積設備市場規(guī)模約為61億美元。

在薄膜沉積設備市場中,PECVD份額占比達33%,而其余占比較大的設備有PVD(19%)、ALD(11%)、管式CVD(12%)等。由于PECVD具有沉積速度快、工作溫度低的優(yōu)點,其在薄膜沉積設備中占據(jù)主要地位。

目前,按設備數(shù)量口徑來計算,我國薄膜沉積設備國產(chǎn)化率約為5.5%。就中國企業(yè)在薄膜沉積設備市場中的地位而言,與國際先進水平還有差距,但北方華創(chuàng)、拓荊科技、中微公司、微導納米、盛美上海等本土設備企業(yè)正在試圖通過差異化競爭和技術創(chuàng)新來擴大自己的市場規(guī)模和版圖。

就國內(nèi)競爭者而言,北方華創(chuàng)和拓荊科技是兩家領先的企業(yè),北方華創(chuàng)優(yōu)勢在PVD,拓荊科技優(yōu)勢在PECVD和ALD。此外,中微公司也在追趕,其鎢系列薄膜沉積產(chǎn)品可覆蓋存儲器件所有鎢應用,并已完成多家邏輯和存儲客戶對CVD/HAR/ALDW鎢設備的驗證,取得了客戶訂單。

  • 清洗設備:盛美上海、北方華創(chuàng)、至純科技、芯源微

根據(jù)Gartner的數(shù)據(jù)顯示,2024年全球半導體設備清洗市場規(guī)模將有望達到38.67億美元。結合SEMI的數(shù)據(jù),在清洗設備市場中,濕法清洗(化學清洗)設備占比約90%,干法清洗設備占比約10%,由此得出,2024年全球半導體化學清洗設備市場規(guī)模34.80億美元,干法清洗設備市場規(guī)模為3.87億美元 。

在全球半導體前道設備市場中,清洗設備的國產(chǎn)化率是較高的,根據(jù)2024年的市場調(diào)研數(shù)據(jù)顯示,中國大陸半導體清洗設備的國產(chǎn)化率已達到31%。

其中,盛美上海、北方華創(chuàng)、至純科技、芯源微等企業(yè)是重要貢獻者,以盛美上海為例,其清洗設備已涵蓋單片、槽式、單片槽式組合、超臨界CO2干燥清洗、邊緣和背面刷洗等環(huán)節(jié)。據(jù)悉,盛美上海占據(jù)了國內(nèi)清洗設備80%的市場份額,其余20%則由北方華創(chuàng)、至純科技、芯源微三家公司瓜分。

  • 離子注入設備:凱世通、爍科中科信

離子注入機主要用于集成電路制造中的摻雜工藝,以及輔助摻雜(預非晶化、擴散和激活控制等)、輔助其它集成電路制造工藝(例如光刻、刻蝕)等領域。

根據(jù)離子能量和注入劑量的不同,離子注入機可劃分為低能大束流、中低束流和高能離子注入機三大類,其中低能大束流離子注入機廣泛應用于源漏、多晶硅柵極注入,市占率高達61%;中低束流離子注入機主要用于輕摻雜漏區(qū)、SmartCut穿透阻擋層等,市占率為20%;高能離子注入機主要用于深埋層,市占率為18%。

根據(jù)Research and Markets的預測數(shù)據(jù)顯示,2023年全球離子注入機市場規(guī)模約為19億美元,到2030年預計將增長至25億美元,年復合增長率為4.4%。

另結合SEMI,Gartner、華西證券研究所對2024年的預測,預計2024年全球半導體離子注入設備市場規(guī)??蛇_245億元,其中低能大束流離子注入機達到149.5億元;2024年中國大陸半導體離子注入設備市場規(guī)模約為86億元,其中低能大束流離子注入機市場規(guī)模可達52.3億元。

在離子注入設備市場中,中國企業(yè)屬于后來者,整體國產(chǎn)化率較低。以2022年華虹無錫招標中離子注入機國產(chǎn)化率為例,該數(shù)據(jù)在6%左右,而另外一家晶圓廠的離子注入機國產(chǎn)化率則更低,為3%左右。

結合市場和技術的角度來看,來自中國大陸的主要市場參與者包括凱世通和爍科中科信。其中,凱世通主要聚焦在低能大束流和高能離子注入機板塊,已取得多家12英寸晶圓廠的訂單,產(chǎn)品包括低能大束流離子注入機istellar-500、高能離子注入機istellar-HE2000等;而爍科中科信則更聚焦在中束流離子注入機板塊,并具有較強的市場競爭力,整體產(chǎn)品涵蓋CI E8000、CI C200、CI-S0400MBRO6CF、CI-S0400ASH06BF-01等,值得一提的是,在2023年2月公司首臺大束流離子注入機也已順利交付。

  • 涂膠顯影設備:芯源微、盛美上海

涂膠顯影設備與光刻機緊密協(xié)作,是光刻工序中的核心設備。涂膠顯影設備主要包括涂膠機、噴膠機和顯影機。

從市場規(guī)模來看,2019-2022年全球前道涂膠顯影設備市場規(guī)模由17.85億美元增長到25.12億美元,CAGR為12.06%。根據(jù)市場預測,2024年中國大陸涂膠顯影設備市場規(guī)模將達到87億元。未來,隨著芯片復雜程度越來越高,后道設備亦存在一定增量。

和許多其他半導體前道設備一樣,涂膠顯影設備的市場也高度集中,甚至可以說日本TEL一家獨大,其在中國的市占率在90%以上。而涂膠顯影設備的本土參與者,主要是芯源微,近年來本土市占率正在提升(有機構預測2024年的本土市占率將在10%以上),另外像盛美上海等也在嘗試進入該賽道,但整體市場份額不高。

在產(chǎn)品側,芯源微前道涂膠顯影設備在Offline、i-line、KrF機臺領域均已實現(xiàn)批量銷售,浸沒式機臺已獲得國內(nèi)知名企業(yè)訂單,超高溫Barc機臺實現(xiàn)客戶導入,首臺浸沒式高產(chǎn)能涂膠顯影設備完成驗證并實現(xiàn)順利驗收。

  • 去膠設備:屹唐股份、芯源微、盛美上海

去膠工藝在半導體晶圓制造過程中扮演著至關重要的角色,它負責在光刻、刻蝕或離子注入等工藝完成后去除晶圓表面的光刻膠。這一步驟的徹底性直接影響到后續(xù)工藝的順利進行,乃至最終器件的性能表現(xiàn)。

根據(jù)市場調(diào)研數(shù)據(jù)顯示,全球去膠設備市場規(guī)模在2022年約為7億美元,預計未來幾年將以穩(wěn)定的速度增長。另根據(jù)Gartner的統(tǒng)計,在去膠設備市場,2020年屹唐股份的市占率為31.3%,為全球第一;北方華創(chuàng)的市占率為1.7%,為全球第七。

值得一提的是,在晶圓制造相關設備中,去膠設備國產(chǎn)化率已經(jīng)超過90%,是國產(chǎn)化率最高的設備品類。

屹唐股份的去膠設備產(chǎn)品包括Suprema?系列干法去膠設備和Hydrilis?HMR高選擇比先進光刻硬掩模材料去除設備,這些設備主要適用于邏輯/內(nèi)存芯片、COMS圖像傳感器、功率半導體等產(chǎn)品制造過程中晶圓表面材料的去除和清潔等工藝。

根據(jù)報道,2023年6月,屹唐股份已正式發(fā)布12英寸去膠機ACEi300,開拓了12英寸刻蝕領域全新版圖。

  • 爐管:北方華創(chuàng)、盛美上海

半導體爐管設備是用于集成電路制造中氧化、擴散、退火工藝的關鍵設備,主要作用是在硅襯底上形成二氧化硅層,進行半導體材料的退火處理,以及引入摻雜劑形成PN結和其他摻雜區(qū)域。

根據(jù)市場調(diào)研數(shù)據(jù)顯示,2023年全球半導體爐管設備市場規(guī)模為167億元人民幣,預計到2030年將增長至250.2億元人民幣,年復合增長率為5.9%。

中國市場在半導體爐管設備領域的變化較快,國產(chǎn)化程度在逐漸提高。北方華創(chuàng)、盛美上海等設備企業(yè)是主要的本土賽道參與者,主要產(chǎn)品是立式爐管設備。以北方華創(chuàng)為例,其立式爐管設備首先集中在LPCVD設備,然后逐步發(fā)展到其他類型的爐管設備。

  • CMP設備:華海清科、眾硅科技、爍科精微

CMP是先進集成電路制造前道工序、先進封裝等環(huán)節(jié)必須的關鍵支撐工藝,也是目前唯一能兼顧表面全局和局部平坦化的拋光技術。

根據(jù)SEMI的數(shù)據(jù)顯示,2022年全球CMP設備市場規(guī)模約為27.78億美元,在全球CMP設備市場中,中國大陸市場規(guī)模連續(xù)3年保持全球第一,2022年中國大陸CMP設備市場規(guī)模約6.66億美元,2020-2022年中國大陸地區(qū)CMP設備市場CAGR達24.6%。

另根據(jù)Research and markets預測,2030年全球CMP市場規(guī)模將達到45億美元,2022-2030年復合增長率為6%;2030年中國CMP市場規(guī)模將達到11億美元,2022-2030年復合增長率為9.4%。

盡管市場規(guī)模較大,但國產(chǎn)化率相對較低,但隨著華海清科、眾硅科技、爍科精微等本土供應商的發(fā)力,尤其是華海清科的貢獻,當前有市場調(diào)研數(shù)據(jù)表明,國產(chǎn)化率已超越10%。

值得一提的是,華海清科是國內(nèi)唯一一家實現(xiàn)12英寸CMP設備量產(chǎn)的廠商,其12英寸CMP設備Universal-300系列可支持支持28nm及以上制程,同時部分型號如Universal-300X和Universal-300T正在14nm及以下制程進行驗證;而爍科精微的12英寸CMP設備Horizon300已在28nm制程國際主流集成電路產(chǎn)線完成工藝驗證;眾硅科技的CMP12英寸設備樣機TTAIS?300 CMP也已落地。

寫在最后

在供需不平衡的中國市場,國產(chǎn)替代需求強烈。然而下一步,國產(chǎn)半導體設備產(chǎn)業(yè)該如何發(fā)展呢?

“尊重知識產(chǎn)權和打造差異化競爭優(yōu)勢是中國半導體產(chǎn)業(yè)健康發(fā)展的關鍵。當前,低價競爭導致的低毛利已成為行業(yè)發(fā)展的主要障礙,它削弱了設備企業(yè)的研發(fā)能力,阻礙了技術的迭代升級。半導體設備廠商需要維持40%-50%的毛利潤率,以確保持續(xù)的研發(fā)投入和技術創(chuàng)新。非法的設備翻新和抄襲行為不僅侵犯了知識產(chǎn)權,也不利于企業(yè)的長期發(fā)展。真正的創(chuàng)新來自于對核心專利技術的深入研發(fā),這種差異化的技術進步是良性的‘內(nèi)卷’,它能夠推動企業(yè)在專利法的保護下享受長達20年的高毛利期。通過在中國市場驗證和推廣自主研發(fā)的技術,中國半導體設備廠商可以自信地走向全球,展現(xiàn)其創(chuàng)新實力和競爭力?!蓖鯐煆娬{(diào)。

相關推薦

電子產(chǎn)業(yè)圖譜

與非網(wǎng)副主編 通信專業(yè)出身,從事電子研發(fā)數(shù)余載,擅長從工程師的角度洞悉電子行業(yè)發(fā)展動態(tài)。