加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴散
  • 作品版權(quán)保護
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • 前道工藝設(shè)備
    • 后道工藝設(shè)備
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

除了光刻機,哪類設(shè)備國產(chǎn)替代空間最大?

2023/04/08
3929
閱讀需 13 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

半導(dǎo)體產(chǎn)業(yè)鏈環(huán)節(jié)多且復(fù)雜,尤其是上游半導(dǎo)體設(shè)備和材料,因制造流程繁多,涉及到很多細分行業(yè)。根據(jù)WSTS數(shù)據(jù),中國半導(dǎo)體元器件市場在全球占比高達43%,而同期SEMI數(shù)據(jù),中國半導(dǎo)體設(shè)備銷售額296億美元,全球占比29%,中國半導(dǎo)體設(shè)備市場規(guī)模全球占比低,相比與元器件市場非常不匹配。

近期A股市場,以中微公司為代表的半導(dǎo)體設(shè)備公司表現(xiàn)異常搶眼。本文將梳理本土半導(dǎo)體設(shè)備廠商的競爭格局,定量感受本土廠商市場份額潛在的成長空間。<文中數(shù)據(jù)均為公開資料整理>

前道工藝設(shè)備

根據(jù)用于的工藝流程不同,半導(dǎo)體設(shè)備主要分為前道設(shè)備和后道設(shè)備兩類,其中前道設(shè)備主要用于晶圓制造環(huán)節(jié),后道設(shè)備用于封測環(huán)節(jié)。

圖源:與非網(wǎng)

前道的晶圓制造環(huán)節(jié)包括7個主要生產(chǎn)區(qū)域:擴散-光刻-刻蝕-離子注入-薄膜生長-拋光-金屬化。涉及到的設(shè)備主要有:薄膜沉積設(shè)備、刻蝕機、光刻機、量測設(shè)備、清洗機、CMP、涂膠顯影設(shè)備、離子注入機、熱處理設(shè)備等。

根據(jù)SEMI數(shù)據(jù),2021年全球前道工藝設(shè)備市場規(guī)模876億美元,其中薄膜沉積設(shè)備、刻蝕機、光刻機在晶圓制造設(shè)備的市場占比較大,分別為28%、22%、21%。

數(shù)源:SEMI,安信證券研究中心

根據(jù)2022年的不完全統(tǒng)計數(shù)據(jù)(時間口徑為2022年,招標(biāo)廠商為積塔半導(dǎo)體、華虹無錫等偏成熟制程的晶圓廠,故部分品類國產(chǎn)化率較高,數(shù)據(jù)由德邦研究所統(tǒng)計)。半導(dǎo)體設(shè)備國產(chǎn)化率較2021年明顯提升,從21%提升至35%,但具體到細分設(shè)備分化明顯。

國產(chǎn)化率較高設(shè)備種類有:

去膠設(shè)備(91%),基本實現(xiàn)國產(chǎn)替代。由上海稷以、屹唐半導(dǎo)體(IPO申請)、浙江寧謙主導(dǎo)。值得一提的是,屹唐半導(dǎo)體在發(fā)展過程中,2016年收購了在干法去膠產(chǎn)品上有30多年的研發(fā)歷史的美國公司MTI,壯大了公司的去膠設(shè)備業(yè)務(wù),其干法去膠設(shè)備、快速熱處理設(shè)備主要用于90-5nm邏輯芯片、10nm系列DRAM芯片和32-128層3D NAND制造中若干關(guān)鍵步驟的大規(guī)模量產(chǎn);另外,公司還有刻蝕設(shè)備業(yè)務(wù),干法刻蝕設(shè)備主要用于65-5nm邏輯芯片、10nm系列DRAM和32-128層3D NAND制造中若干關(guān)鍵步驟的大規(guī)模量產(chǎn)。

清洗設(shè)備(66%),國內(nèi)有較多企業(yè)涉足:盛美上海(上市)、創(chuàng)微微、至純科技(上市)、芯源微(上市)、中電45所、北方華創(chuàng)(上市)等。在清洗設(shè)備領(lǐng)域,國有企業(yè)中盛美上海的規(guī)模最大,其最新旗艦產(chǎn)品SAPS、TEBO和Tahoe能夠覆蓋80%以上的清洗設(shè)備市場。

刻蝕設(shè)備(56%),國內(nèi)涉足企業(yè)有中微公司(上市)、北方華創(chuàng)(上市)、嘉芯迦能、嘉芯閎揚、無錫邑文等。中微公司作為國內(nèi)刻蝕設(shè)備龍頭企業(yè),公司在整個半導(dǎo)體設(shè)備國產(chǎn)化進程中具有明顯優(yōu)勢,長期保持大規(guī)模、高強度的研發(fā)投入,但對標(biāo)國際半導(dǎo)體設(shè)備巨頭泛林半導(dǎo)體、東京電子的研發(fā)布局和研發(fā)規(guī)模,仍有差距。

數(shù)源:chinabidding、德邦研究所

國產(chǎn)化率偏低的設(shè)備種類有:

CMP設(shè)備(41%),國內(nèi)涉足企業(yè)有華海清科(上市)、爍科精微等。其中華海清科已實現(xiàn)產(chǎn)業(yè)化的12英寸CMP設(shè)備,目前是公司最主要的收入來源,公司Universal-300系列有多款產(chǎn)品,技術(shù)水平已突破至14nm邏輯芯片、128層3D NAND、1X/1Ynm DRAM存儲芯片節(jié)點,基本滿足國內(nèi)各類型產(chǎn)線最高技術(shù)節(jié)點,近年持續(xù)快速放量。

薄膜沉積設(shè)備(36%),國內(nèi)涉足企業(yè)有北方華創(chuàng)(上市)、拓荊科技(上市)、中微公司(上市)、嘉芯迦能、江蘇旭宇騰等。但從全球市場份額來看,薄膜沉積設(shè)備行業(yè)主要由應(yīng)用材料、泛林半導(dǎo)體、東京電子、ASM公司主導(dǎo)。

國內(nèi)廠商差異化競爭,北方華創(chuàng)薄膜沉積產(chǎn)品線較為全面,具備PVD、CVD、ALD產(chǎn)品供應(yīng)能力,在PVD設(shè)備領(lǐng)域競爭優(yōu)勢顯著,國內(nèi)產(chǎn)線導(dǎo)入的國產(chǎn)PVD設(shè)備基本均出自北方華創(chuàng)。拓荊科技、中微公司尚不具備PVD產(chǎn)品供應(yīng)能力。中微公司主要為MOCVD設(shè)備,應(yīng)用于LED、miniLED化合物半導(dǎo)體。拓荊科技引領(lǐng)PECVD國產(chǎn)化,北方華創(chuàng)也有PECVD產(chǎn)品,但目前主要應(yīng)用于光伏/LED/功率器件/MEMS領(lǐng)域,拓荊科技也是國內(nèi)唯一一家產(chǎn)業(yè)化生產(chǎn) SACVD設(shè)備的廠商,而北方華創(chuàng)CVD產(chǎn)品除PECVD外主要為LPCVD、APCVD。ALD產(chǎn)品方面,拓荊科技與北方華創(chuàng)產(chǎn)品應(yīng)用工藝有所差異(拓荊科技ALD應(yīng)用于SADP工藝、STI表面薄膜;北方華創(chuàng)ALD應(yīng)用于HKMG工藝)。

量測設(shè)備(27%),國內(nèi)涉足企業(yè)主要有:中科飛測、精測半導(dǎo)體、上海微電子、上海睿勵等。中科飛測產(chǎn)品主要包括無圖形晶圓缺陷檢測設(shè)備系列、圖形晶圓缺陷檢測設(shè)備系列、三維形貌測量設(shè)備系列和薄膜膜厚量測設(shè)備系列等產(chǎn)品,已應(yīng)用于國內(nèi)28nm及以上制程的集成電路制造產(chǎn)線。上海精測半導(dǎo)體前道檢測設(shè)備領(lǐng)域,以橢圓偏振技術(shù)為核心開發(fā)了適用于半導(dǎo)體工業(yè)應(yīng)用的膜厚測量以及光學(xué)關(guān)鍵尺寸量測系統(tǒng)的產(chǎn)品。中微公司旗下上海睿勵致力于集成電路生產(chǎn)前道工藝檢測領(lǐng)域設(shè)備研發(fā)和生產(chǎn),產(chǎn)品主要為光學(xué)膜厚測量設(shè)備和光學(xué)缺陷檢測設(shè)備,以及硅片厚度及翹曲測量設(shè)備等。

值得一提的是,無論中國市場還是全球市場,海外廠商科磊半導(dǎo)體都是一枝獨大,全口徑數(shù)據(jù)預(yù)計市占率均超過50%。

數(shù)源:chinabidding、德邦研究所

 

國產(chǎn)化率極低的設(shè)備種類有:

熱處理設(shè)備(26%),目前還是由東京電子、應(yīng)用材料、ASMI等海外主導(dǎo),國內(nèi)涉足企業(yè)主要有:北方華創(chuàng)(上市)、屹唐半導(dǎo)體、嘉芯閎揚等。北方華創(chuàng)的12英寸立式氧化爐設(shè)備陸續(xù)通過了90/65/45/28nm技術(shù)代集成電路生產(chǎn)線的工藝驗證,技術(shù)快速追趕海外。據(jù)長江證券數(shù)據(jù),北方華創(chuàng)在長江存儲中標(biāo)的氧化擴散類設(shè)備為80臺,中標(biāo)率高達56.74%,超過海外龍頭東京電子、應(yīng)用材料等公司;由于華虹集團工藝面更廣,對熱處理設(shè)備類型的需求量更多,因此北方華創(chuàng)設(shè)備中標(biāo)率低于東京電子、應(yīng)用材料兩家海外龍頭企業(yè),中標(biāo)率為11.43%。

涂膠顯影設(shè)備(24%),東京電子處于壟斷地位,國內(nèi)企業(yè)芯源微(上市)涉足,芯源微生產(chǎn)的涂膠顯影設(shè)備僅使用在LED芯片制造及集成電路制造后道先進封裝等環(huán)節(jié),作為國內(nèi)廠商主流機型已在國內(nèi)一線大廠廣泛應(yīng)用,通過多年技術(shù)積累,成功突破了包括凸點封裝工藝相關(guān)的超厚光刻膠膜的涂覆、顯影、單片濕法多工藝藥液同腔分層刻蝕以及193nm(ArF)光刻工藝超薄膠膜均勻涂敷、精細化顯影、精密溫控?zé)崽幚淼仍趦?nèi)的多項核心關(guān)鍵技術(shù),開發(fā)出國產(chǎn)涂膠顯影設(shè)備并實現(xiàn)量產(chǎn),成功打破國外廠商壟斷。

離子注入機(6%),由于技術(shù)壁壘較高,將高壓離子轟擊把雜質(zhì)引入硅片,雜質(zhì)與硅片發(fā)生原子級高能碰撞后才能被注入,基本由應(yīng)用材料和Axcelis Technology壟斷,國產(chǎn)化率非常低。在德邦的統(tǒng)計數(shù)據(jù)中,離子注入機行業(yè),浙江露語尓和爍科中科信分別占比4%、2%,其中爍科中科信,源于中國電科第48所,目前已擁有中束流離子注入機、低能大束流離子注入機、高能離子注入機和定制離子注入機四種產(chǎn)品,2023年一季度實現(xiàn)交付碳化硅離子注入機12臺。另外,萬業(yè)企業(yè)旗下的凱世通也涉足離子注入機,產(chǎn)品應(yīng)用于光伏太陽能電池,新型平板顯示和半導(dǎo)體集成電路領(lǐng)域。

數(shù)源:chinabidding、德邦研究所

光刻機(0%),由ASML、日本尼康和佳能三家絕對壟斷,其中ASML更是全球絕對龍頭,市占率近80%,幾乎壟斷了EUV光刻機市場。國內(nèi)據(jù)悉上海微電子是唯一的希望,目前光刻機產(chǎn)品有90nm的SSA600/20、110nm的SSC600/10以及280nm的SSB600/10。

 

 

后道工藝設(shè)備

傳統(tǒng)封測(后道)工藝可以大致分為背面減薄、晶圓切割、貼片、引線鍵合、模塑、電鍍、切筋成型和終測等8個主要步驟。涉及設(shè)備主要:劃片機、貼片機、引線鍵合機、測試機、探針臺、分選機等。

根據(jù)SEMI數(shù)據(jù),2021年全球半導(dǎo)體設(shè)備銷售額為1026億美元,其中后道封裝及測試設(shè)備分別為72億美元、78億美元,占比7.0%和7.6%。引線鍵合機、貼片機、切割機在封裝設(shè)備市場占比合計64%。另外,測試機在測試設(shè)備市場規(guī)模占比高達63%。

數(shù)源:SEMI,中銀國際證券

 

封裝設(shè)備國產(chǎn)化率不超過5%盡管與前道制造相比,后道封裝技術(shù)難度較低,對工藝環(huán)境、設(shè)備和材料的要求遠低于晶圓制造,但由于前些年產(chǎn)業(yè)政策向晶圓廠、封測廠、晶圓制程設(shè)備等傾斜,而封裝設(shè)備和中高端測試設(shè)備缺乏產(chǎn)業(yè)政策培育,所以國內(nèi)封裝設(shè)備自給率同樣很低。封裝設(shè)備國產(chǎn)化率不超過5%,顯著低于晶圓制程設(shè)備10%-15%的國產(chǎn)化率。

全球設(shè)備市場基本由ASMPT、K&S、Besi、Disco等海外廠商壟斷,其中K&S在引線鍵合設(shè)備方面全球領(lǐng)先占據(jù)60%市場份額,ASMPT、Besi壟斷固晶機市場,Disco壟斷全球2/3以上的劃片機和減薄機市場,行業(yè)競爭格局高度集中。

國內(nèi)廠商華海清科、中電科45所、方達研磨、蘭新高科涉足晶圓減薄機;沈陽和研科技、中電科45所、光力科技(上市)、匯盛機械涉足劃片機;華封科技、艾克瑞思、普萊信、新益昌(上市)涉足固晶機;中電科45所、創(chuàng)世杰、深圳翠濤、成都宇芯涉足引線鍵合設(shè)備。盡管國內(nèi)廠商涉足封測各環(huán)節(jié)設(shè)備,但市場份額均很低。

國內(nèi)中高端測試設(shè)備主要依賴進口。目前精測電子(上市)、長川科技(上市)、華峰測控(上市)、冠中集創(chuàng)、金海通(上市)等實現(xiàn)部分測試設(shè)備或分選機的國產(chǎn)化突破,但主要聚焦在國內(nèi)較為成熟的功率和模擬器件測試設(shè)備等領(lǐng)域,而SOC和Memory芯片測試設(shè)備仍主要依賴于泰瑞達和愛德萬等進口品牌。

數(shù)源:各公司官網(wǎng)、華泰研究

 

 

推薦器件

更多器件
器件型號 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊 ECAD模型 風(fēng)險等級 參考價格 更多信息
P410QM223M300AH101 1 KEMET Corporation RC Network,

ECAD模型

下載ECAD模型
$1.85 查看
0510210200 1 Molex Board Connector, 2 Contact(s), 1 Row(s), Female, Straight, Crimp Terminal, Receptacle, ROHS COMPLIANT

ECAD模型

下載ECAD模型
$0.29 查看
TFM252012ALVA2R2MTAA 1 TDK Corporation General Purpose Inductor,

ECAD模型

下載ECAD模型
$0.81 查看
中微半導(dǎo)體

中微半導(dǎo)體

中微半導(dǎo)體(深圳)股份有限公司成立于2001年,是集成電路(IC)設(shè)計企業(yè),專注于數(shù)模混合信號芯片、模擬芯片的研發(fā)、設(shè)計與銷售。主要產(chǎn)品包括家電控制芯片、消費電子芯片、電機與電池芯片、傳感器信號處理芯片及功率器件等,廣泛應(yīng)用于家用電器、消費電子、電機電池、醫(yī)療健康、工業(yè)控制、汽車電子和物聯(lián)網(wǎng)等領(lǐng)域。

中微半導(dǎo)體(深圳)股份有限公司成立于2001年,是集成電路(IC)設(shè)計企業(yè),專注于數(shù)?;旌闲盘栃酒?、模擬芯片的研發(fā)、設(shè)計與銷售。主要產(chǎn)品包括家電控制芯片、消費電子芯片、電機與電池芯片、傳感器信號處理芯片及功率器件等,廣泛應(yīng)用于家用電器、消費電子、電機電池、醫(yī)療健康、工業(yè)控制、汽車電子和物聯(lián)網(wǎng)等領(lǐng)域。收起

查看更多

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

與非網(wǎng)高級數(shù)據(jù)分析師。多年基金公司行業(yè)研究經(jīng)驗。與你一起,深度剖析各種數(shù)字現(xiàn)象下的底層邏輯。