加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 坐 7/5 趕 3 追 2 三雄爭(zhēng)霸高端制程
    • 先進(jìn)制程拼什么?制程是技術(shù) 良率是關(guān)鍵
    • 成為頭號(hào)玩家 臺(tái)積電有這些秘密武器
    • 作為戰(zhàn)略資源的尖端半導(dǎo)體
    • 跳出戰(zhàn)局
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

先進(jìn)制程大戰(zhàn)啟示錄

2020/12/24
155
閱讀需 20 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

7 月 24 日,在英特爾的第二季財(cái)報(bào)會(huì)議上,首席執(zhí)行官 Bob Swan 宣布了兩則重要消息:7 納米的處理器生產(chǎn)時(shí)間,會(huì)比預(yù)期晚 6 個(gè)月。而為了維持產(chǎn)品的競(jìng)爭(zhēng)力,英特爾將會(huì)考慮外包芯片制造業(yè)務(wù)。

7 納米芯片生產(chǎn)再度延遲,英特爾股價(jià)聞風(fēng)跌 10%,臺(tái)積電AMD 的股價(jià)則接連大漲。會(huì)議結(jié)束后的 7 月 27 日,英特爾開(kāi)除了首席工程師。相比敏感的股市和英特爾迅速做出的人事調(diào)整,更大的影響在產(chǎn)業(yè)界和輿論場(chǎng)擴(kuò)散開(kāi)來(lái)。

坐 7/5 趕 3 追 2 三雄爭(zhēng)霸高端制程

人人皆愛(ài)英雄末路敘事。英特爾 7 納米延遲消息一出,不僅使 IDM(垂直整合制造)與 Foundry(代工廠)模式孰優(yōu)孰劣的討論升溫,也使“英特爾掉隊(duì)”的聲音甚囂塵上。對(duì)英特爾及半導(dǎo)體產(chǎn)業(yè)而言,7 納米制程及其延遲到底意味著什么?

推動(dòng)半導(dǎo)體產(chǎn)業(yè)發(fā)展的摩爾定律描述,晶體管容量大約每經(jīng)過(guò) 24 個(gè)月增加一倍,代表著芯片制造的微細(xì)化趨勢(shì)。28 納米被視作先進(jìn)制程與成熟工藝的分水嶺,前文提到的 7 納米制程作為先進(jìn)制程,代表了芯片制造商的技術(shù)競(jìng)爭(zhēng)力。

在產(chǎn)品端,先進(jìn)制程芯片用于打造高性能終端產(chǎn)品。蘋(píng)果最新推出的自研芯片 M1 采用了臺(tái)積電 5 納米制程,其集成的 160 億晶體管數(shù)量便被視作一大亮點(diǎn)。

近年,英特爾一直苦于先進(jìn)制程技術(shù)推進(jìn)受阻。摩根士丹利發(fā)布的報(bào)告稱,從去年底,臺(tái)積電推出 7 納米強(qiáng)效版制程后,臺(tái)積電在制造能力上已開(kāi)始超越英特爾。除了喪失制造環(huán)節(jié)的優(yōu)勢(shì)地位,這也意味著,英特爾無(wú)法憑借自家芯片升級(jí)產(chǎn)品,而競(jìng)爭(zhēng)對(duì)手 AMD 的 7 納米 CPU 已經(jīng)被多位客戶采用。

晶圓制造行業(yè)最高的回報(bào)率通過(guò)獲取領(lǐng)先技術(shù)獲得,這是業(yè)界已然形成的共識(shí)。由于先進(jìn)制程可以大幅提升芯片性能,且屬于稀缺資源,一旦先行研發(fā)成功將獲得溢價(jià)和先發(fā)優(yōu)勢(shì)。當(dāng)前,圍繞先進(jìn)制程的大戰(zhàn)正愈演愈烈。

受益于先進(jìn)制程優(yōu)勢(shì),臺(tái)積電的毛利率遠(yuǎn)超其他同類廠商。按應(yīng)用劃分,臺(tái)積電營(yíng)收主要來(lái)自手機(jī)和高性能計(jì)算產(chǎn)品,這兩個(gè)領(lǐng)域均以先進(jìn)制程為主。按制程劃分,近年臺(tái)積電 16 納米及更先進(jìn)制程的營(yíng)收貢獻(xiàn)程度持續(xù)成長(zhǎng),7 納米已成為重要引擎。這也是為什么臺(tái)積電先進(jìn)制程進(jìn)展備受投資者關(guān)注的原因之一。

Source:SMIC

中芯國(guó)際于科創(chuàng)版上市,就意在加速先進(jìn)制程。淳石資本業(yè)務(wù)合伙人兼私募證券投資部負(fù)責(zé)人楊如意曾在接受媒體采訪時(shí)直言,對(duì)于中芯國(guó)際來(lái)說(shuō),何時(shí)實(shí)現(xiàn)先進(jìn)制程突破是支撐其股價(jià)的靶點(diǎn)之一。

從需求端來(lái)看,芯片制程從 14 納米走向 5 納米,手機(jī)和高性能運(yùn)算是重要驅(qū)動(dòng)力。半導(dǎo)體周期也不失為一個(gè)觀察視角,PC 主導(dǎo)全球科技的時(shí)代落幕,未來(lái)將由 5GAI 奏響市場(chǎng)主旋律?,F(xiàn)階段,受益于 5G、智能手機(jī)、HPC、AIoT 等需求,7 納米及以下先進(jìn)制程需求旺盛。

既是技術(shù)領(lǐng)先的代名詞,又有著旺盛的終端需求,先進(jìn)制程機(jī)遇十分明確。不過(guò),由于先進(jìn)制程制造環(huán)節(jié)的資金、技術(shù)壁壘極高,這一紅利只有高端玩家吃得起。越來(lái)越多的參與者已經(jīng)“出局”,格芯在 2018 年宣布放棄 7 納米研發(fā),聯(lián)電也在 2018 年宣布放棄 12 納米以下先進(jìn)制程投資。

通常來(lái)說(shuō),能夠提供 7 納米及以下先進(jìn)制程工藝的廠商僅有臺(tái)積電和三星。不過(guò),28 納米之后各家制程節(jié)點(diǎn)命名并不完全一致,考慮到英特爾的 10 納米性能大致相當(dāng)于臺(tái)積電的 7 納米,業(yè)界認(rèn)為英特爾實(shí)際上也已經(jīng)邁過(guò) 7 納米門(mén)檻。

在去年的一場(chǎng)研討會(huì)上,TrendForce 集邦咨詢分析師徐韶甫將高端制程進(jìn)展形象地總結(jié)為坐 7 趕 5 追 3。到今年,情況已經(jīng)發(fā)生較大轉(zhuǎn)變。英特爾、臺(tái)積電和三星均已走過(guò) 7 納米階段,其中臺(tái)積電在該節(jié)點(diǎn)取得了絕對(duì)優(yōu)勢(shì)。隨后,臺(tái)積電和三星在今年雙雙實(shí)現(xiàn) 5 納米量產(chǎn)。

3 納米制程方面,臺(tái)積電宣布預(yù)計(jì)于 2022 年開(kāi)始量產(chǎn),三星也放話要在同年投產(chǎn)。近段時(shí)間,圍繞臺(tái)積電的 2 納米制程釋出不少催化消息?,F(xiàn)階段,坐 7/5 趕 3 追 2 是較為恰當(dāng)?shù)拿枋觥?/p>

先進(jìn)制程拼什么?制程是技術(shù)?良率是關(guān)鍵

成功入圍制程競(jìng)技高端局,接下來(lái)還要比拼什么?開(kāi)創(chuàng)了晶圓代工模式并把臺(tái)積電打造成全球晶圓代工龍頭的張忠謀自然有發(fā)言權(quán)。

2016 年,英特爾在年度開(kāi)發(fā)者大會(huì)上首度表態(tài),將為全球客戶提供晶圓代工服務(wù)。全球半導(dǎo)體營(yíng)收冠軍要涉足晶圓代工,張忠謀倒是一點(diǎn)也不驚慌:相信英特爾會(huì)發(fā)現(xiàn),水是很冰冷的。在張忠謀看來(lái),產(chǎn)量、良率與背后的一連串應(yīng)援服務(wù),才是晶圓代工真正的關(guān)鍵價(jià)值鏈。

晶圓代工本質(zhì)上屬制造業(yè),制程是技術(shù),良率才是關(guān)鍵,玩家首先必須跨過(guò)良率大關(guān)。原子的大小約為 0.1 納米,14 納米的通道僅能供一百多顆原子通過(guò),因此制作過(guò)程中只要有一顆原子缺陷、或者出現(xiàn)一絲雜質(zhì),就會(huì)影響產(chǎn)品的良率。先進(jìn)制程下,良率的改善難度更是會(huì)大大增加。

在良率方面,臺(tái)積電絕對(duì)是佼佼者。今年下半年,臺(tái)積電創(chuàng)下了一個(gè)里程碑——生產(chǎn) 10 億個(gè)功能完備且無(wú)缺陷的 7 納米芯片。臺(tái)積電先進(jìn)制程事業(yè)發(fā)展處處長(zhǎng)袁立本撰文指出:我們 7 納米制程大量生產(chǎn)的速度比公司之前任何的制程更快,能夠快速實(shí)現(xiàn)良率的提升。

作為對(duì)抗摩爾定律的利器,先進(jìn)封裝被視作芯片制造商的新戰(zhàn)場(chǎng)。

進(jìn)入 2020 年,英特爾、三星、臺(tái)積電加速 3D 封裝技術(shù)的部署。英特爾 Lake field 采用 Foveros,三星的 X-Cube 已經(jīng)在自家的 7 納米和 5 納米制程上通過(guò)驗(yàn)證,臺(tái)積電的 3D 封裝芯片也計(jì)劃在今年量產(chǎn)。

由于 7 納米及更先進(jìn)制程必須借助光刻設(shè)備轉(zhuǎn)印半導(dǎo)體電路圖案,EUV 光刻機(jī)可視作觀察先進(jìn)制程大戰(zhàn)的一個(gè)指標(biāo)。光刻機(jī)龍頭 ASML 在 2019 年出售了 26 臺(tái)極紫外線 EUV 光刻設(shè)備,大概一半面向臺(tái)積電。三星若要加緊追趕步伐,必須確保光刻設(shè)備到位,為此三星掌門(mén)人李在镕前不久曾特意飛往 ASML 荷蘭總部。

三星領(lǐng)導(dǎo)人李在镕拜訪光刻機(jī)制造商 ASML(Source:Samsung)

值得一提的是,觀察光刻機(jī)這一維度也應(yīng)當(dāng)關(guān)注設(shè)備使用的熟練程度。由于先發(fā)優(yōu)勢(shì),分析人士認(rèn)為臺(tái)積電在熟練使用的技術(shù)上領(lǐng)先近 1 年。當(dāng)然,隨著光刻設(shè)備趨于復(fù)雜精密,先發(fā)者也必須先于對(duì)手挑戰(zhàn)下一代光刻技術(shù),并承擔(dān)制造過(guò)程中的風(fēng)險(xiǎn)。

看過(guò)了前后道工序和上游的設(shè)備端,再往下游看自然是圍繞客戶也就是規(guī)模化訂單的搶奪。時(shí)間差是獲得規(guī)?;唵蔚闹匾獥l件,在求新求快、贏家通吃的先進(jìn)制程戰(zhàn)場(chǎng),時(shí)間就是生命的說(shuō)法毫不夸張。換言之,搶客戶方面的能力,較大程度上取決于先發(fā)優(yōu)勢(shì)。

率先實(shí)現(xiàn) 5 納米量產(chǎn)的臺(tái)積電,制程一度由 8 家客戶爭(zhēng)搶。即使失去大客戶華為,臺(tái)積電 5 納米產(chǎn)能仍能滿載。三星 5 納米工藝趨穩(wěn)之際,市場(chǎng)尤其關(guān)注它能否填滿產(chǎn)能,從而補(bǔ)上投資黑洞。所幸,先進(jìn)制程需求強(qiáng)勁,吃下英偉達(dá)等訂單后,三星未來(lái)還有谷歌自研芯片等訂單在望。
對(duì)追逐先進(jìn)制程的廠商而言,規(guī)模化訂單也意味著學(xué)習(xí)機(jī)會(huì)。芯片生產(chǎn)同樣講究熟能生巧,如果搶到蘋(píng)果這樣的客戶,學(xué)習(xí)曲線將有機(jī)會(huì)變得尤其陡峭。臺(tái)積電原本擅長(zhǎng)生產(chǎn)的 Arm 處理器,被認(rèn)為運(yùn)算效能無(wú)法與英特爾競(jìng)爭(zhēng)。蘋(píng)果最近表態(tài),計(jì)劃最早明年推出超越英特爾的處理器,對(duì)臺(tái)積電而言這無(wú)疑會(huì)是一個(gè)絕佳的炫技機(jī)會(huì)。

需要強(qiáng)調(diào)的一點(diǎn)是,我們慣以“大戰(zhàn)”這樣的軍事用語(yǔ)描述廠商如何進(jìn)行技術(shù)競(jìng)賽。但是,需留意軍事戰(zhàn)略思想不一定適用于企業(yè),例如軍事目的在于勝負(fù),企業(yè)目的在于獲利。正如電子分析師賀茂飛所言:良好的盈利能力和穩(wěn)健合理的資本投入比形成良性循環(huán),才是科技公司用技術(shù)創(chuàng)造價(jià)值的核心動(dòng)力。

成為頭號(hào)玩家 臺(tái)積電有這些秘密武器

資本投入、自研技術(shù)之外,無(wú)法量化的要素也構(gòu)成先進(jìn)制程大戰(zhàn)的重要競(jìng)爭(zhēng)力。從只能制造性能較低的芯片產(chǎn)品到成為頭號(hào)玩家,揭示臺(tái)積電的秘密武器,有助于我們理解這一變動(dòng)。

首先是基因,它為臺(tái)積電構(gòu)建了爭(zhēng)奪客戶的比較優(yōu)勢(shì)。臺(tái)積電一直強(qiáng)調(diào)不與客戶競(jìng)爭(zhēng),實(shí)際上就是喊話給三星聽(tīng)。三星的劣勢(shì)顯而易見(jiàn),例如自營(yíng)智能手機(jī)和 CPU 業(yè)務(wù),會(huì)與蘋(píng)果、高通等廠商存在利益沖突。從先進(jìn)制程目前的應(yīng)用場(chǎng)景來(lái)看,智能手機(jī)廠商客戶扮演重頭戲,更能利好專注代工的企業(yè)。

后天習(xí)得方面,不得不提臺(tái)積電的制造管理強(qiáng)度,這與它引以為豪的良率和生產(chǎn)周期緊密相關(guān)。中國(guó)臺(tái)灣地區(qū)工研院電子與光電系統(tǒng)研究所所長(zhǎng)吳志毅指出,一個(gè)先進(jìn)制程的步驟至少有 1000 道程序,要讓良率達(dá)到一定的水準(zhǔn),并不是每一道都達(dá)到 99.99%就好,因?yàn)檫@樣到最后可能連 4 成的良率都不到。

“幾乎讓多數(shù)步驟的良率達(dá)到百分之百的水準(zhǔn),未來(lái)在導(dǎo)入 EUV 技術(shù)時(shí),也才能有一定程度的良率表現(xiàn),受客戶青睞。“

Source:TSMC

張忠謀曾在接受《天下》專訪時(shí)極言“生產(chǎn)周期”的重要性:當(dāng)前最先進(jìn)的 IC,內(nèi)部結(jié)構(gòu)像是一個(gè)層層疊疊的千層蛋糕,做出每一層所花時(shí)間的平均,就稱為“生產(chǎn)周期”。他還表示:“我有一個(gè)夢(mèng)想,以后要做到一層一天,不能再長(zhǎng)?!?/p>

疫情催化下,數(shù)字化轉(zhuǎn)型成為國(guó)內(nèi)各行各業(yè)的熱點(diǎn)話題。事實(shí)上,產(chǎn)線的智能化升級(jí)也是臺(tái)積電進(jìn)一步提升管理能力的秘密武器。

臺(tái)積電在分享 7 納米經(jīng)驗(yàn)時(shí)提及,公司在設(shè)備中部署了大量的傳感器,確保能夠收集到每一個(gè)有用的數(shù)據(jù),以借助人工智能大數(shù)據(jù)改善制程。早在 2017 年,張忠謀就透露:臺(tái)積電先進(jìn)制造環(huán)境已采用“獨(dú)特的專家系統(tǒng),先進(jìn)算法,類神經(jīng)網(wǎng)絡(luò)自我學(xué)習(xí)的模式”。

同樣在制造管理方面,在分析臺(tái)積電競(jìng)爭(zhēng)力時(shí),“風(fēng)格”這個(gè)詞躍入筆者腦際,跑得不慢的臺(tái)積電實(shí)則有著穩(wěn)健的風(fēng)格。比如在導(dǎo)入 EUV 時(shí),相比在技術(shù)不成熟時(shí)直接全面采用 EUV 的三星,臺(tái)積電則在沿用 FinFET 推出 7 納米產(chǎn)品的基礎(chǔ)上,再推出 EUV 進(jìn)階版。

其次是設(shè)計(jì)能力。業(yè)界往往認(rèn)為,IDM 有助于借助完整鏈條突破技術(shù)極限,以及提早測(cè)試更快推出技術(shù)。而臺(tái)積電作為 Foundry 代表則被貼上專注的標(biāo)簽。與此同時(shí),堅(jiān)持純代工策略也被不少人視作臺(tái)積電的制勝關(guān)鍵。

事實(shí)上,IC 參考設(shè)計(jì)能力也是臺(tái)積電較為隱秘的武器。業(yè)界人士觀察:臺(tái)積電做這么多年的晶圓代工,光是電源管理用的 IP,就有非常多的選擇。這些設(shè)計(jì)經(jīng)過(guò)內(nèi)部測(cè)試,只要客戶點(diǎn)頭,馬上就能上線生產(chǎn)。尤其重要的是,設(shè)計(jì)都留在自家供客戶選擇使用,不與客戶競(jìng)爭(zhēng)。

設(shè)計(jì)能力還曾幫助臺(tái)積電奪得過(guò)訂單。財(cái)訊的一篇報(bào)道援引知情人士稱,臺(tái)積電拿下 AMD 訂單的關(guān)鍵,就與一個(gè)處理器專用設(shè)計(jì)有關(guān)。借助該設(shè)計(jì), AMD 可以在短時(shí)間內(nèi)把處理器核心從 4 核發(fā)展成 8 核,甚至 64 核。

Source:TSMC

最后也是最為重要的人才,大家討論最多的是良將,一如專注研發(fā)多年,帶領(lǐng)上千團(tuán)隊(duì)的研發(fā)副總經(jīng)理曹敏,被視作臺(tái)積電先進(jìn)制程發(fā)展的重要推手。除了挑選好帶頭人,臺(tái)積電也著眼于如何吸引并留住年輕世代,比如拉高固定薪酬、專門(mén)設(shè)定降低新人離職率的目標(biāo)。

更進(jìn)一步則涉及如何提前培育先進(jìn)制程人才。自今年 9 月,臺(tái)積電在中國(guó)臺(tái)灣 6 所大學(xué)開(kāi)設(shè)“臺(tái)積電半導(dǎo)體學(xué)程”。圍繞“公司最重要的資產(chǎn)”,臺(tái)積電展示出觀念與密集的基本動(dòng)作。

作為戰(zhàn)略資源的尖端半導(dǎo)體

所有的電子產(chǎn)品、先進(jìn)科技都需要半導(dǎo)體作為主要處理運(yùn)算的“大腦”,作為科技產(chǎn)業(yè)的底盤(pán),半導(dǎo)體的戰(zhàn)略意義無(wú)需贅述。

Arm 收購(gòu)案推進(jìn)階段,創(chuàng)始人特意向英國(guó)政府請(qǐng)?jiān)阜駴Q。他認(rèn)為:既然美國(guó)總統(tǒng)愿意講全球技術(shù)主導(dǎo)地位武器化,英國(guó)也應(yīng)當(dāng)有自己的貿(mào)易武器,否則將成為沖突的附帶損失。

讓經(jīng)濟(jì)回歸經(jīng)濟(jì),總是樸素假設(shè),不同維度的交織,才是無(wú)法避免的現(xiàn)實(shí)。逆全球化的風(fēng)吹拂多時(shí),后疫情時(shí)代,全球電子供應(yīng)鏈重整更是得到提速。

在世界半導(dǎo)體市場(chǎng),以最大廠商英特爾為代表的美國(guó)企業(yè)的份額占到 47%。而從產(chǎn)能來(lái)看,美國(guó)僅占世界的 12%。英特爾首席執(zhí)行官 Bob Swan 近日刊登公開(kāi)信,呼吁芯片制造回歸美國(guó)本土。眼下,美國(guó)為了推動(dòng)半導(dǎo)體的國(guó)內(nèi)生產(chǎn),開(kāi)始討論新投入 250 億美元規(guī)模的補(bǔ)貼。

Source:Intel

美國(guó)芯片產(chǎn)業(yè)史上,類似 Bob Swan 的行動(dòng)其實(shí)有前例可援。上世紀(jì) 80 年代中期,美國(guó)芯片企業(yè)遭到日本企業(yè)圍剿,便向美國(guó)政府求助。結(jié)果之一是建立公私合伙關(guān)系以研發(fā)尖端制造技術(shù),幫助美國(guó)在其開(kāi)創(chuàng)的產(chǎn)業(yè)中重回正軌。

韓國(guó)一直希望能夠像此前搶奪存儲(chǔ)產(chǎn)業(yè)一樣,占據(jù)晶圓代工市場(chǎng)主導(dǎo)權(quán)。就在今年 4 月,韓國(guó)政府宣布了一系列 2030 年半導(dǎo)體強(qiáng)國(guó)目標(biāo)。著眼于晶圓代工領(lǐng)域,韓國(guó)的目標(biāo)是到 2030 年實(shí)現(xiàn)世界第一。

中國(guó)臺(tái)灣地區(qū)本就在晶圓制造和封測(cè)領(lǐng)域占據(jù)優(yōu)勢(shì)。今年 7 月,中國(guó)臺(tái)灣地區(qū)經(jīng)濟(jì)部又提出半導(dǎo)體先進(jìn)制程中心政策藍(lán)圖,確定發(fā)展四路:關(guān)鍵材料自主化、材料供應(yīng)在地化、外商設(shè)備制造在地化、先進(jìn)封裝設(shè)備國(guó)產(chǎn)化。業(yè)內(nèi)人士認(rèn)為,這是中國(guó)臺(tái)灣地區(qū)針對(duì)供應(yīng)鏈本土化趨勢(shì)的回應(yīng)。

要不要堅(jiān)守市場(chǎng)主義,一直是前沿科技產(chǎn)業(yè)的重要議題。近年來(lái)國(guó)際政經(jīng)局勢(shì)趨緊,全球高科技產(chǎn)業(yè)的競(jìng)技場(chǎng)上,卻看到頻繁出沒(méi)的政策制定者的身影。有分析指出,美國(guó)曾向尖端技術(shù)的研究開(kāi)發(fā)等投入公共預(yù)算,但向工廠建設(shè)等直接投入補(bǔ)貼實(shí)屬罕見(jiàn)。

科技角力、日韓貿(mào)易戰(zhàn)等局勢(shì)下,各國(guó)都在學(xué)習(xí)如何自處。觀察先進(jìn)制程大戰(zhàn),基于宏觀維度的考量不可或缺。與此同時(shí),趨于復(fù)雜的政經(jīng)環(huán)境,也要求產(chǎn)業(yè)政策制定者拿出真正行之有效的方案。

跳出戰(zhàn)局

制程大戰(zhàn)不要求參賽者笑到最后,主動(dòng)出局者也不等同失敗者。放棄制程追趕也能夠獲得不錯(cuò)回報(bào)期,比如專注特色工藝的華虹半導(dǎo)體,再比如成功翻盤(pán)的聯(lián)電。

放棄最先進(jìn)工藝的比拼,玩家仍能夠通過(guò)差異化的市場(chǎng)定位形成比較優(yōu)勢(shì);而對(duì)于先進(jìn)制程的堅(jiān)定追趕者而言,是以短期財(cái)務(wù)壓力為籌碼,爭(zhēng)取長(zhǎng)期競(jìng)爭(zhēng)力。

企業(yè)經(jīng)營(yíng)猶如帆船比賽,必須同時(shí)關(guān)注產(chǎn)業(yè)的風(fēng)向,競(jìng)爭(zhēng)者的動(dòng)作以及本身的團(tuán)隊(duì)合作。獲取長(zhǎng)期競(jìng)爭(zhēng)力的關(guān)鍵之一,就在于預(yù)判好產(chǎn)業(yè)未來(lái)。一如臺(tái)積電現(xiàn)任董事長(zhǎng)劉德音,能夠跳出固有賽道、看清賽局。劉德音曾表示,未來(lái)不只在拼制程微縮,而是以邏輯密度或運(yùn)算能力作為指標(biāo)。

產(chǎn)業(yè)未來(lái)是否有逆風(fēng)?不僅技術(shù)路線不能挑錯(cuò),引領(lǐng)產(chǎn)業(yè)的人還要關(guān)注產(chǎn)業(yè)壽命。臺(tái)積電近年開(kāi)始口徑一致,用“柳暗花明又一村”比喻摩爾定律并未消亡。一方面,意指廠商有許多不同的途徑可以繼續(xù)增加密度,持續(xù)推進(jìn)先進(jìn)制程;一方面,也代表市場(chǎng)應(yīng)用面夠廣,值得投入發(fā)展。

可是市場(chǎng)需求存在,技術(shù)就定然有生命力嗎?“半導(dǎo)體產(chǎn)業(yè)還有 100 年壽命”的專家預(yù)言會(huì)否過(guò)度自信。替代并不總具有積極內(nèi)涵,非典型競(jìng)爭(zhēng)者可能用替代路徑讓其他玩家消失。目力所及之內(nèi),量子計(jì)算即具有改寫(xiě)半導(dǎo)體產(chǎn)業(yè)的潛力。

一些人認(rèn)為,中國(guó)臺(tái)灣地區(qū)原有的半導(dǎo)體基礎(chǔ),會(huì)成為接下來(lái)切入量子計(jì)算的優(yōu)勢(shì)。但也有說(shuō)法稱,量子電腦興起后,中國(guó)臺(tái)灣地區(qū)半導(dǎo)體終將走上末路。關(guān)于當(dāng)前英特爾 7 納米制程放緩,一種較為激進(jìn)的解讀是,英特爾看到了量子計(jì)算的突破與樂(lè)觀前景。

2016 年 5 月,劉德音在技術(shù)論壇上指出,臺(tái)積電將致力于發(fā)展移動(dòng)運(yùn)算、高性能運(yùn)算、汽車電子物聯(lián)網(wǎng)平臺(tái),這些布局正是現(xiàn)如今被驗(yàn)證的高成長(zhǎng)市場(chǎng)——所有的故事都以時(shí)間為軸,誰(shuí)說(shuō)遠(yuǎn)見(jiàn)不是競(jìng)爭(zhēng)力?這話適用于先進(jìn)制程大戰(zhàn)的每一位玩家。

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

DRAMeXchange(全球半導(dǎo)體觀察)官方訂閱號(hào),專注于半導(dǎo)體晶圓代工、IC設(shè)計(jì)、IC封測(cè)、DRAM、NAND Flash、SSD、移動(dòng)裝置、PC相關(guān)零組件等產(chǎn)業(yè),致力于提供半導(dǎo)體產(chǎn)業(yè)資訊、行情報(bào)價(jià)、市場(chǎng)趨勢(shì)、產(chǎn)業(yè)數(shù)據(jù)、研究報(bào)告等。