加入星計劃,您可以享受以下權益:

  • 創(chuàng)作內容快速變現(xiàn)
  • 行業(yè)影響力擴散
  • 作品版權保護
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • ?01、主導市場,醞釀漲價,臺積電要更賺錢
    • ?02、臺積電的HPC為何無法取代?
    • ?03、臺積電漲價了, iPhone 會貴嗎?
    • ?04、結語
  • 推薦器件
  • 相關推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

“挾三板斧令諸侯”的臺積電,又要漲價了

08/12 09:30
2691
閱讀需 12 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

作者:六千

不久前,臺積電公布了第二季度財報。數(shù)據(jù)顯示臺積電第二季度凈利潤同比增長36%,至新臺幣2,478.5億元(合76.1億美元)。第二季度收入增長40%,至新臺幣6,735.1億元,6月份收入增長了33%。財報中一個重要的現(xiàn)象是,HPC 芯片占比 52%,高于第一季度的 46%,首次突破 50%。

?01、主導市場,醞釀漲價,臺積電要更賺錢

業(yè)內人士表示,手握大把 HPC 市場產(chǎn)品訂?單的臺積電近?期會漲價。7月下旬,臺積電陸續(xù)向多家客戶發(fā)出通知,由于成本不斷飆升,2025年1月起 5nm、3nm制程產(chǎn)品價格將再度調漲,按投片規(guī)劃、產(chǎn)品與合作關系等不同,漲幅約落在3%~8%。目前臺積電3/5納米制程利用率高達100%,相關制程完全主導市場,在這樣的背景下漲價對于臺積電的運營顯然是利大于弊。

2024年6月,臺積電已經(jīng)對3納米與5納米先進制程產(chǎn)品進行漲價,其中,3納米和5納米的AI產(chǎn)品漲價5%—10%,非AI產(chǎn)品漲價0~5%。除了先進制程,也有業(yè)內人透露臺積電可能提高了CoWoS價格。CoWoS 是現(xiàn)在市場需求旺盛的 HBM 產(chǎn)品的關鍵技術,而AMD英偉達AI芯片都會使用到這一技術。雖然未公布數(shù)字,但臺積電正在快速擴大CoWoS產(chǎn)線,以適應市場需求。因此,需求旺盛,又需要擴產(chǎn),“羊毛出在羊身上”,臺積電的漲價已經(jīng)成為必然。

業(yè)內人士透露,臺積電今年 6 月將先進封裝的價格上漲了15%~20%??紤]到臺積電幾乎沒有對手,占領絕對優(yōu)勢位置,三星等無論良率或訂單都落后臺積電許多,面對臺積電的漲價,大客戶們甚至有“拍手稱快”的意思。

仁勛在接受采訪時直言,臺積電的產(chǎn)品及服務價格之前“太低了”。除了英偉達,臺積電的大客戶,如蘋果、高通、AMD等相繼接受了漲價方案。面對臺積電的絕對優(yōu)勢,投資機構也表示,漲價會讓臺積電更賺錢也更值錢。

臺積電財報顯示,以AI芯片在內的高性能計算業(yè)務收入較前一季度增長約28%,智能手機業(yè)務收入下降1%,智能手機領域曾經(jīng)是臺積電銷售額最高的領域,但在第一季度,HPC 已經(jīng)超越了智能手機領域。智能手機領域的份額從第一季度的 38% 下降到第二季度的 33%。在業(yè)績發(fā)布會上,臺積電預計第三季度收入可達到224億-232億美元,對AI持續(xù)繁榮充滿信心。

華爾街投資機構紛紛發(fā)布報告調高了其目標價。高盛將臺積電的目標價提高了19%,預計公司3納米和5納米芯片的制造價格將以“低個位數(shù)的百分比”上漲。除了調高了臺積電目標價,機構還判斷臺積電可能會提高2024年的收入預期。

?02、臺積電的HPC為何無法取代?

高性能計算芯片收入增長與人工智能(AI)和5G應用的發(fā)展息息相關。互聯(lián)設備、智能汽車、虛擬現(xiàn)實/增強現(xiàn)實智能制造,需要進行廣泛的數(shù)據(jù)分析。這導致了對云數(shù)據(jù)中心通信基礎設施計算能力的前所未有的需求。

視頻內容和近千億臺互聯(lián)設備推動了巨大的IP流量增長。有線和無線連接速度正變得越來越快,以支持市場創(chuàng)新。為了在高性能計算領域“大賺一筆”,臺積電也在豐富為 HPC 客戶提供的技術服務,包括:前沿工藝技術、連接技術(射頻技術、SerDes和光互連)、臺積電3D Fabric(3D硅堆疊和先進封裝平臺)。

第一板斧:前沿工藝

作為目前技術最領先代工廠,臺積電的先進工藝自然是最前沿工藝技術包括:N4(N4、N4P、N4X),N5(N5、N5P),N7/N6,SHDMiM。N4制程是5nm(N5)技術的增強版,于2022年開始量產(chǎn)。其中N4X是臺積電“X”系列極致性能半導體技術的首款產(chǎn)品,比N4P在速度上提升了6%,計劃于2024年量產(chǎn)。N4、N4P和N4X節(jié)點在設計規(guī)則上與5納米技術兼容,便于設計遷移。

2024 年 Q2,5nm 節(jié)點是臺積電營收最高的工藝節(jié)點,占比 35%。N7+節(jié)點是臺積電首個進入量產(chǎn)階段的EUV工藝;N6提供了與N7相同的設計規(guī)則、器件模型和IP。N6 與 N7采用相同的設計流程和EDA工具。設計師可以在保持N7方案的同時采用N6制程。在重新流片(RTO)模式下,芯片尺寸與N7相同,臺積電可以通過減少掩模層數(shù)和簡化工藝,提高芯片良率。

臺積電還開發(fā)了一種超高密度金屬-絕緣體-金屬(SHDMiM)電容器,以解決片內電容密度的挑戰(zhàn)。隨著高性能設備的性能/功耗不斷增加和操作電壓不斷降低,電源完整性和電源分配在性能方程中所占的比例也越來越大。與上一代產(chǎn)品相比,SHDMiM提供了高達四倍的有效電容。

第二板斧:數(shù)據(jù)傳輸技術

對于 HPC 客戶來說,數(shù)據(jù)傳輸變成了一個新的挑戰(zhàn),因此如果讓產(chǎn)品連接性能提高也很重要。為了更好滿足客戶需求,臺積電通過射頻技術、SerDes 設計以及光互聯(lián)技術。為了實現(xiàn)更快速的蜂窩通信,5G啟用毫米波頻譜以容納更寬的信號帶寬。這帶來了與低于6GHz基站不同的技術挑戰(zhàn),因此對5G毫米波基站的需求也不同于低于6GHz的基站,進而產(chǎn)生了不同的技術要求。

臺積電的射頻技術同時支持用于基站應用的低于6GHz和毫米波技術。關鍵SerDes設計規(guī)格包括鏈路速度、每通道功耗和最大可容忍信道損耗。連續(xù)時間線性均衡器(CTLE)是高速SerDes設計中的關鍵電路,相關技術已在N5芯片上驗證,可實現(xiàn)112Gbps的運行。臺積電客戶和第三方IP供應商的112Gbps SerDes IP正在生產(chǎn)中。在連接技術上,臺積電也在布局硅光技術。

光互連實現(xiàn)了高速、低功耗的數(shù)據(jù)傳輸,硅光子技術通過更高的集成度和堅固的材料正在贏得市場份額。對于未來以更高數(shù)據(jù)速率(>50Tbs)運行的幾代產(chǎn)品,共封裝光學(CPO)預計將成為一項關鍵解決方案。臺積電N65硅光子工藝技術已實現(xiàn)量產(chǎn),臺積電還在開發(fā)3D堆疊技術,以將硅光子技術與高性能計算相結合,滿足CPO要求。其專有的3D堆疊CPO技術可實現(xiàn)高速、低功耗的數(shù)據(jù)通信。

第三板斧:3D 封裝技術

隨著制程的演進,封裝的重要性越來越高。針對HPC客戶,臺積電通過先進的晶圓技術、Open Innovation Platform設計生態(tài)系統(tǒng)和3DFabric技術,以實現(xiàn)快速升級和縮短上市時間。前端3D堆疊技術(SoIC,集成芯片上的系統(tǒng)),提供了靈活的芯片級小芯片設計和集成。

后端3D堆疊技術增加了封裝尺寸,增加了CoWoS的技術內容,通過增加中介層尺寸來容納更先進的節(jié)點和高帶寬內存 (HBM),以實現(xiàn)更高的計算能力和帶寬,滿足云、數(shù)據(jù)中心和高端服務器的規(guī)格要求。

InFO 相關技術,如InFO-oS,也為針對HPC的特定應用提供了邏輯到邏輯的集成解決方案。基于以上三方面的保障,讓臺積電在 HPC 代工領域變成無法取代的領頭羊。但臺積電 HPC 業(yè)務的亮眼表現(xiàn)背后,是智能手機市場需求放緩的陰影。

?03、臺積電漲價了, iPhone 會貴嗎?

然而漲價最后的買單者,很有可能是消費者,畢竟當 HPC 訂單增加的同時,產(chǎn)能有限的條件下,消費性產(chǎn)品的產(chǎn)能可能也跟著漲價,而其中最有可能受到影響的或許就是手機。

從全球智能手機市場來看,臺積電手握蘋果和聯(lián)發(fā)科所有新款智能手機芯片的制造訂單,以及高通的大量訂單。雖然聯(lián)發(fā)科警告稱,第三季度銷售額將環(huán)比下降 3%—4%,這意味著季節(jié)性勢頭將較弱。高通指出,智能手機出貨量在長期停滯后正在緩慢復蘇,預計 2024 年的出貨量將持平或增長個位數(shù)百分比。但聯(lián)發(fā)科和高通均公布了第二季度好于預期的銷售業(yè)績。業(yè)內人士預測,到 2024 年底,即將推出的 iPhone 設備的訂單量將與 2023 年同期的 iPhone 15 系列訂單量相當。

這意味著前三大智能手機芯片供應商的出貨量不會有任何大幅增長,這與臺積電對智能手機市場的展望一致。手機鏡頭模組供應商大立光電首席執(zhí)行官林亞當(Adam Lin)在 7 月份也透露,雖然許多客戶實際上對 2024 年下半年的銷售并不那么樂觀,但 8 月份訂單勢頭將繼續(xù)上升。從絕對值來看,智能手機芯片業(yè)務的收入對臺積電第一,二季度的實際貢獻并沒有太大的下降。臺積電仍預計智能手機芯片將在 2024 年下半年提供可觀的增長勢頭。九月即將發(fā)布的 iPhone 會不會因此漲價值得期待。

?04、結語

8月8日,中國大陸兩家代工廠雙雙發(fā)布2024 年 Q2財報。中芯國際當季實現(xiàn)銷售收入19.0億美元,環(huán)比增長8.6%,同比增長21.8%;凈利潤為1.65億美元,同比下降59%。中芯國際預計2024年三季度收入環(huán)比增長13%至15%,毛利率介于18%至20%的范圍內。華虹半導體發(fā)布2024年二季度銷售收入為4.785億美元,同比下降24.2%;歸母凈利潤為667.3萬美元,同比下降91.5%。

作為最領先的代工廠,臺積電的財務業(yè)績和指引是全球半導體市場的重要指標。在臺積電“吃香喝辣”的同時,不難看出并非所有市場都恢復景氣。旺盛的 AI 之火還在燃燒,但其他行業(yè)的回春之時何時到來?

推薦器件

更多器件
器件型號 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊 ECAD模型 風險等級 參考價格 更多信息
SHF-105-01-L-D-SM 1 Samtec Inc Board Connector, 10 Contact(s), 2 Row(s), Male, Straight, 0.05 inch Pitch, Surface Mount Terminal, Black Insulator, Receptacle, ROHS COMPLIANT

ECAD模型

下載ECAD模型
$3.87 查看
ACS102-6T1-TR 1 STMicroelectronics Overvoltage protected AC switch

ECAD模型

下載ECAD模型
$0.74 查看
C0603C560J5GACTU 1 KEMET Corporation Capacitor, Ceramic, Chip, General Purpose, 56pF, 50V, ±5%, C0G/NP0, 0603 (1608 mm), -55o ~ +125oC, 7" Reel/Unmarked

ECAD模型

下載ECAD模型
$0.1 查看

相關推薦

電子產(chǎn)業(yè)圖譜

公眾號:半導體產(chǎn)業(yè)縱橫。立足產(chǎn)業(yè)視角,提供及時、專業(yè)、深度的前沿洞見、技術速遞、趨勢解析,鏈接產(chǎn)業(yè)資源,構建IC生態(tài)圈,賦能中國半導體產(chǎn)業(yè),我們一直在路上。