加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 突破I/O極限
    • 光子與電子的“碰撞”
    • 硅光芯片正逐步擺脫“桎梏”
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

臺(tái)積電下場(chǎng),硅光技術(shù)來(lái)到爆發(fā)前夜?

2023/10/07
5067
閱讀需 12 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

談到光,總是能想到明亮與高速。在通信半導(dǎo)體產(chǎn)業(yè)中,有著不少與光有關(guān)的元器件。例如寬帶中常見的光調(diào)制解調(diào)器“光貓”,可以利用基帶芯片將輸入的光信號(hào)轉(zhuǎn)換成數(shù)字信號(hào);5G信號(hào)發(fā)射基站中,可以利用相控陣?yán)走_(dá)的原理加強(qiáng)電磁波信號(hào)的傳播范圍;光敏電阻可以利用電阻材料對(duì)光的敏感性或內(nèi)光電效應(yīng)來(lái)自發(fā)調(diào)節(jié)電阻;太陽(yáng)能電池板也能利用硅晶體對(duì)光的反應(yīng)產(chǎn)生電流。光與硅,其實(shí)早已緊密的聯(lián)系在一起。

但深入設(shè)備內(nèi)部,不同芯片之間流淌著的仍舊是載流子(電子與空穴),光僅是完整設(shè)備外部的信息傳導(dǎo)方式。隨著各類AI大模型的發(fā)展,AI芯片逐漸遇到發(fā)展瓶頸,單芯片性能不再是限制設(shè)備或服務(wù)器的上限,芯片與芯片之間的信息溝通擋在了發(fā)展道路上。但如果是光互聯(lián),能推動(dòng)半導(dǎo)體進(jìn)一步發(fā)展嗎?

今年9月,臺(tái)積電將攜手博通英偉達(dá)等大客戶共同開發(fā)硅光子技術(shù)、共同封裝光學(xué)元件(co-packaged optics,CPO)等新產(chǎn)品,制程技術(shù)從45nm延伸到7nm,最快明年下半年開始迎來(lái)大單,并在2025年左右達(dá)到放量階段。2022年,國(guó)內(nèi)大廠長(zhǎng)電等均已押寶硅光芯片科技;2022年1月,中國(guó)電科成功研制國(guó)內(nèi)首款1.6Tb/s硅光互連芯片,刷新了國(guó)內(nèi)此前單片光互連速率和互連密度的最好水平紀(jì)錄;2023年4月,北京大學(xué)超大規(guī)模集成光量子計(jì)算芯片研制成功,首次實(shí)現(xiàn)了片上多光子高維度量子糾纏態(tài)的制備與調(diào)控;如今,隨著臺(tái)積電攜手更多大廠進(jìn)入賽道,硅光芯片或許已經(jīng)來(lái)到爆發(fā)前夜。

突破I/O極限

當(dāng)摩爾定律走到極限,SoC的性能上限正面臨內(nèi)存墻、功耗墻等物理規(guī)則的限制。AI時(shí)代,高性能計(jì)算行業(yè)正在迅速接近電氣I/O性能的實(shí)際極限,從而形成了“I/O功耗墻”。硅光的傳輸距離和數(shù)據(jù)傳輸速率可以達(dá)到銅的6500倍和8倍,因此硅光芯片也被業(yè)界視作是“推動(dòng)計(jì)算機(jī)光互連甚至是光計(jì)算的革命”。

光子集成電路技術(shù)(PIC,Photonic Integrated Circuit),其本質(zhì)是將光學(xué)器件與芯片集成在同一塊SoC上,電子接口、數(shù)字電路和高速模擬混合信號(hào)電路與光學(xué)元件相互配合,以實(shí)現(xiàn)片上互聯(lián)以及片間互聯(lián)速度的進(jìn)一步提升。

硅光芯片產(chǎn)生的目的就是讓硅與光深度融合,讓芯片可以通過光來(lái)傳輸數(shù)據(jù)硅光芯片使用激光束替代電子在芯片中傳輸信號(hào)。據(jù)全球光電混合計(jì)算領(lǐng)軍企業(yè)曦智科技介紹,硅光芯片的大部分制造工藝可以基于目前成熟的集成電路制造工藝實(shí)現(xiàn)。官方資料顯示,硅光芯片利用小尺寸高功率的DFB激光芯片實(shí)現(xiàn)激光發(fā)射,同時(shí)基于光學(xué)設(shè)計(jì)仿真實(shí)現(xiàn)在自有空間的光耦合,采用共晶焊接、芯片焊接及金絲鍵合的封裝技術(shù)進(jìn)行組裝。

那么我們只需要簡(jiǎn)單的將激光器與芯片進(jìn)行組合就可以了嗎?還沒那么簡(jiǎn)單。硅光芯片的激光器的主要類型有VCSEL、FP、DFB、DML、EML等,不同類型的激光器有不同的工作波長(zhǎng)、方式和應(yīng)用環(huán)境。硅光芯片同樣可分為單波長(zhǎng)組件與多波長(zhǎng)光組件。單波長(zhǎng)光組件,可借助自由空間透鏡耦合將激光匯聚到光纖中;而多波長(zhǎng)光組件,就要借助自由空間透鏡耦合,4通道的激光準(zhǔn)直后通過MUX(多路選擇器Multiplexer)實(shí)現(xiàn)合波,最終匯聚到同一根光纖中。 此外,硅光芯片還需要TEC(半導(dǎo)體制冷器)和熱敏電阻共同實(shí)現(xiàn)激光器的溫控,底板及基板均采用導(dǎo)熱率匹配的材料。組件的光電接口分別采用標(biāo)準(zhǔn)MT連接器FPC連接。組裝階段則需要利用芯片焊接技術(shù)將激光器芯片貼裝到襯底上,通過金絲焊接實(shí)現(xiàn)芯片的電流驅(qū)動(dòng)。

光子與電子的“碰撞”

2015年,英特爾首次驗(yàn)證硅光電子器件性能超越同類傳統(tǒng)光電子器件。彼時(shí)英特爾預(yù)計(jì)到2019年,硅光子技術(shù)就能實(shí)現(xiàn)重大突破,在每秒峰值速度、能耗、成本方面有了巨大提升。更為關(guān)鍵的是,英特爾的Ponte Vecchio GPU由超過40個(gè)芯粒組成,極大的增強(qiáng)了架構(gòu)設(shè)計(jì)的靈活性,這也直接開啟了全球硅光芯片這一龐大技術(shù)領(lǐng)域的大門。

此后,對(duì)于硅光芯片的技術(shù)攻關(guān)主要集中在降低芯片制造成本、實(shí)現(xiàn)更精確的溫度控制、降低芯片與透鏡中的耦合損耗等方面。2023年,400G網(wǎng)絡(luò)技術(shù)成熟,市場(chǎng)應(yīng)用則更集中在大規(guī)模計(jì)算服務(wù)器的之上,光子矩陣計(jì)算、片上光網(wǎng)絡(luò)以及片間光網(wǎng)絡(luò)成為新發(fā)力點(diǎn)。

圖源:曦智科技《大規(guī)模光電集成賦能智能算力網(wǎng)絡(luò)白皮書》

光子矩陣計(jì)算(oMAC,Optical Multiply Accumulate)可以作為替代傳統(tǒng)電子進(jìn)行數(shù)據(jù)處理的關(guān)鍵方式,其本質(zhì)上是一種模擬計(jì)算。外部攜帶信號(hào)的光在進(jìn)入系統(tǒng)后,會(huì)首先進(jìn)入一組光學(xué)調(diào)制器,編碼形成輸入光向量,接著光線會(huì)進(jìn)入可編程光學(xué)散射介質(zhì)區(qū)域,這片區(qū)域就是計(jì)算矩陣,輸出的光向量就是矩陣運(yùn)算后的結(jié)果。值得注意的是,光矩陣在計(jì)算過程中是被動(dòng)的,這也意味著計(jì)算不會(huì)消耗任何外部能量,可有效降低芯片計(jì)算的整體能耗。

片上光子網(wǎng)絡(luò)(oNOC,Optical Network on Chip)則是利用光線實(shí)現(xiàn)單個(gè)芯片的內(nèi)部數(shù)據(jù)傳輸。據(jù)曦智科技數(shù)據(jù),晶圓級(jí)光電基板上會(huì)有光子路由波導(dǎo),這些波導(dǎo)就是數(shù)據(jù)通信的關(guān)鍵通路。在芯片制造階段,傳統(tǒng)芯片會(huì)堆疊在硅光子芯片上,形成二維陣列。芯片外部的光線輸入后,會(huì)經(jīng)由波導(dǎo)傳輸進(jìn)入光芯片的調(diào)制器上。此時(shí)傳統(tǒng)芯片(電芯片)的數(shù)據(jù)會(huì)通過光芯片與傳統(tǒng)芯片之間的微凸塊加載到環(huán)形調(diào)制器上,調(diào)制器可以將1或0的數(shù)字信號(hào)轉(zhuǎn)換為不同的光線強(qiáng)度差異。調(diào)制后的光信號(hào)再通過波導(dǎo)傳播到其他芯片上,利用相同的方式將光線轉(zhuǎn)換為電數(shù)字信號(hào)。通過這種方式,工程師可以用數(shù)以千計(jì)的調(diào)制器將大規(guī)模芯片陣列連接到一起,并實(shí)現(xiàn)高能效、高帶寬以及低延時(shí)的片內(nèi)通信方式。

圖源:曦智科技白皮書

oNOC系統(tǒng)側(cè)視圖及俯視圖

除了片內(nèi)通信,光芯片還能起到“數(shù)據(jù)巴士”的作用,將單元內(nèi)部需要傳輸?shù)臄?shù)據(jù)集中起來(lái),通過光傳播介質(zhì)(如光纖)與其他單元進(jìn)行數(shù)據(jù)交互。片間光網(wǎng)絡(luò)(oNET)可以實(shí)現(xiàn)數(shù)據(jù)中心級(jí)別的高帶寬、低功耗與低延時(shí)光信號(hào)傳輸?;旌瞎饣ヂ?lián)芯片(SoC)由傳統(tǒng)芯片與硅光芯片堆疊而成。電芯片將信號(hào)通過不同波長(zhǎng)的調(diào)制器將信號(hào)編碼為光信號(hào),不同調(diào)制光信號(hào)以波分復(fù)用的方式獨(dú)立傳輸,并通過光纖連接到其他系統(tǒng)上。外部光信號(hào)也使用同樣方式轉(zhuǎn)換為數(shù)字信息并進(jìn)行計(jì)算。通過這種方式,不同芯片、不同服務(wù)器系統(tǒng)之間可以傳輸更多的數(shù)據(jù)到更遠(yuǎn)的距離。這也讓計(jì)算系統(tǒng)架構(gòu)的設(shè)計(jì)更加靈活,在AI時(shí)代,該技術(shù)更是成為進(jìn)一步提升高算力服務(wù)器性能的關(guān)鍵。

硅光芯片正逐步擺脫“桎梏”

據(jù)曦智科技《大規(guī)模光電集成賦能智能算力網(wǎng)絡(luò)白皮書》顯示,隨著數(shù)字經(jīng)濟(jì)時(shí)代的到來(lái),萬(wàn)物感知、萬(wàn)物互聯(lián)和萬(wàn)物智能對(duì)計(jì)算的需求呈現(xiàn)爆發(fā)性增長(zhǎng)和多樣化態(tài)勢(shì)。AI的發(fā)展勢(shì)頭正盛,為了提高信息捕捉的質(zhì)量和精度,其模型本身也在不斷演進(jìn),參數(shù)規(guī)模與日俱增。有實(shí)驗(yàn)表明,在基于以太網(wǎng)互連的GPU AI訓(xùn)練的場(chǎng)景中,當(dāng)訓(xùn)練數(shù)據(jù)批量(Batch Size)較小,如果網(wǎng)絡(luò)延遲和帶寬分別從20微秒/50Gbps改變到160微秒/9.4Gbps,GPU性能將下降至原來(lái)的1/3。即使增大訓(xùn)練數(shù)據(jù)批量,也無(wú)法隱藏住性能損失。

如何降低芯片功耗?如何繞開摩爾定律?如何進(jìn)一步降低成本?光芯片成為未來(lái)解決這些問題的一種可能路徑。

相比于傳統(tǒng)的CMOS數(shù)字電路,光子矩陣計(jì)算最顯著的優(yōu)勢(shì)在于低延遲。由于計(jì)算的過程即為光信號(hào)陣列在芯片中傳輸?shù)倪^程,計(jì)算本身的延遲即可看作光在芯片中傳輸?shù)臅r(shí)間。一般在1ns以下。但光子計(jì)算也同樣面臨著種種問題,例如光源應(yīng)如何小型化,以及還要解決模擬傳輸無(wú)法計(jì)算浮點(diǎn)數(shù)的問題。此外,光芯片企業(yè)還面臨著其他痛點(diǎn),例如現(xiàn)有EDA工具對(duì)于該種類芯片支持力度還不夠,自動(dòng)化設(shè)計(jì)流程還不夠完善;同傳統(tǒng)芯片相比,光芯片工程師還需要掌握更多光學(xué)知識(shí),這更加劇了人才缺乏情況;光芯片的規(guī)模還不夠大,在成本上與傳統(tǒng)芯片還有差距等。

盡管有著種種限制,但硅光芯片優(yōu)異的參數(shù)表現(xiàn)還是贏得了大廠的廣泛關(guān)注。國(guó)外有諸如英特爾、思科、諾基亞等傳統(tǒng)大廠,國(guó)內(nèi)也有華為海思、仕佳光子和長(zhǎng)華光芯等領(lǐng)軍企業(yè),曦智科技作為硅光芯片的領(lǐng)頭羊同樣致力于光芯片的發(fā)展。如今臺(tái)積電攜手博通、英偉達(dá)等大客戶進(jìn)駐光芯片賽道,這將進(jìn)一步提升全球硅光芯片的整體投資規(guī)模,但也將加大賽道中的競(jìng)爭(zhēng)烈度。

對(duì)于國(guó)內(nèi)的硅光芯片企業(yè)來(lái)說(shuō),臺(tái)積電的到來(lái)是機(jī)會(huì),同樣是挑戰(zhàn)。如今硅光芯片“風(fēng)華正茂”,尚顯年輕,但這個(gè)未來(lái)的廣闊市場(chǎng)已經(jīng)群雄并起,硅光芯片或許很快將迎來(lái)全面爆發(fā)。

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
CRCW080510K0FKEB 1 Vishay Intertechnologies Fixed Resistor, Metal Glaze/thick Film, 0.125W, 10000ohm, 150V, 1% +/-Tol, 100ppm/Cel, Surface Mount, 0805, CHIP, HALOGEN FREE AND ROHS COMPLIANT

ECAD模型

下載ECAD模型
$0.13 查看
C5750X7S2A226M280KB 1 TDK Corporation Ceramic Capacitor, Ceramic,

ECAD模型

下載ECAD模型
$5.62 查看
BAT54C,215 1 Nexperia BAT54C - Schottky barrier diode@en-us TO-236 3-Pin

ECAD模型

下載ECAD模型
$0.18 查看
博通

博通

Broadcom Corporation (博通公司)(Nasdaq:BRCM)是全球領(lǐng)先的有線和無(wú)線通信 半導(dǎo)體公司。其產(chǎn)品實(shí)現(xiàn)向家庭、 辦公室和移動(dòng)環(huán)境以及在這些環(huán)境中傳遞語(yǔ)音、 數(shù)據(jù)和多媒體。 Broadcom 為計(jì)算和網(wǎng)絡(luò)設(shè)備、數(shù)字娛樂和寬帶 接入產(chǎn)品以及移動(dòng)設(shè)備的制造商提供業(yè)界最廣泛的、 一流的片上系統(tǒng)和軟件解決方案。

Broadcom Corporation (博通公司)(Nasdaq:BRCM)是全球領(lǐng)先的有線和無(wú)線通信 半導(dǎo)體公司。其產(chǎn)品實(shí)現(xiàn)向家庭、 辦公室和移動(dòng)環(huán)境以及在這些環(huán)境中傳遞語(yǔ)音、 數(shù)據(jù)和多媒體。 Broadcom 為計(jì)算和網(wǎng)絡(luò)設(shè)備、數(shù)字娛樂和寬帶 接入產(chǎn)品以及移動(dòng)設(shè)備的制造商提供業(yè)界最廣泛的、 一流的片上系統(tǒng)和軟件解決方案。收起

查看更多

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

與非網(wǎng)行業(yè)分析師。工科背景,擅長(zhǎng)與初創(chuàng)企業(yè)打交道,帶你分析最新行業(yè)政策,解讀新聞背后的故事。