加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專(zhuān)業(yè)用戶(hù)
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 01.粵財(cái)控股金圣宏:廣東省集成電路產(chǎn)業(yè)基金二期正在籌備
    • 02.國(guó)家02專(zhuān)項(xiàng)技術(shù)總師葉甜春:補(bǔ)短板改變不了戰(zhàn)略被動(dòng),新型舉國(guó)體制迫在眉睫
    • 03.國(guó)家01專(zhuān)項(xiàng)技術(shù)總師魏少軍:世界還要看中國(guó)怎么發(fā)展,軟件定義芯片是奇招
    • 04.華潤(rùn)微電子李虹:國(guó)產(chǎn)設(shè)備&材料迎戰(zhàn)略機(jī)遇期,四大要求不可松懈
    • 05.芯盟科技洪齊元:三維異構(gòu)集成是高性能芯片的必然趨勢(shì)
    • 06.長(zhǎng)電科技鄭力:高性能封裝續(xù)命摩爾定律
    • 07.滬硅產(chǎn)業(yè)邱慈云:打破大硅片國(guó)產(chǎn)化困局,目標(biāo)月產(chǎn)能120萬(wàn)片
    • 08.盛美半導(dǎo)體王暉:十年內(nèi)國(guó)產(chǎn)設(shè)備商必進(jìn)世界八強(qiáng)
    • 09.上海哥瑞利孫志巖:解決12寸FAB廠(chǎng)制造系統(tǒng)“卡脖子”難題
    • 10.聚時(shí)科技鄭軍:精密視覺(jué)與深度學(xué)習(xí)助力晶圓缺陷檢測(cè)
    • 11.微崇半導(dǎo)體黃崇基:量檢測(cè)—半導(dǎo)體工藝的眼睛?
    • 12.結(jié)語(yǔ):集成電路設(shè)計(jì)與制造緊密協(xié)同
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

11位大佬談破局思考:中國(guó)芯片制造業(yè)的困境、戰(zhàn)略與奇招

2023/04/19
2173
閱讀需 27 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

作者?|??段祎

編輯?|??Panken

一文看盡IC制造年會(huì)干貨:補(bǔ)短板改變不了戰(zhàn)略被動(dòng),十大因素影響全球供應(yīng)鏈。

芯東西4月18日?qǐng)?bào)道,2023年第25屆中國(guó)集成電路制造年會(huì)暨供應(yīng)鏈創(chuàng)新發(fā)展大會(huì)高峰論壇今日舉行。本次會(huì)議以“立足新發(fā)展階段,構(gòu)建新發(fā)展格局”為主題,會(huì)上,華潤(rùn)微電子、長(zhǎng)電科技、滬硅產(chǎn)業(yè)、盛美半導(dǎo)體半導(dǎo)體廠(chǎng)商分享了自身在新能源產(chǎn)業(yè)、功率半導(dǎo)體、半導(dǎo)體工藝等賽道的發(fā)展和布局。

中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)集成電路分會(huì)理事長(zhǎng)、中國(guó)集成電路創(chuàng)新聯(lián)盟副理事長(zhǎng)兼秘書(shū)長(zhǎng)、國(guó)家科技重大專(zhuān)項(xiàng)02專(zhuān)項(xiàng)技術(shù)總師葉甜春分析了中國(guó)特色集成電路創(chuàng)新之路;中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)設(shè)計(jì)分會(huì)理事長(zhǎng)、中國(guó)集成電路創(chuàng)新聯(lián)盟常務(wù)副理事長(zhǎng)、國(guó)家科技重大專(zhuān)項(xiàng)01專(zhuān)項(xiàng)技術(shù)總師魏少軍談到了如何強(qiáng)化設(shè)計(jì)工藝協(xié)同,提升供應(yīng)鏈安全。

▲中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)集成電路分會(huì)理事長(zhǎng)、中國(guó)集成電路創(chuàng)新聯(lián)盟副理事長(zhǎng)兼秘書(shū)長(zhǎng)、國(guó)家科技重大專(zhuān)項(xiàng)02專(zhuān)項(xiàng)技術(shù)總師葉甜春

上海哥瑞利創(chuàng)始人兼董事長(zhǎng)孫志巖談到如何解決12寸FAB廠(chǎng)制造系統(tǒng)“卡脖子”難題,以及純國(guó)產(chǎn)MES的未來(lái)方向;聚時(shí)科技創(chuàng)始人兼CEO鄭軍談到精密視覺(jué)與深度學(xué)習(xí)如何助力晶圓缺陷檢測(cè);微崇半導(dǎo)體創(chuàng)始人兼CEO黃崇基則介紹了一種名為量檢測(cè)的半導(dǎo)體工藝檢測(cè)的新辦法。

01.粵財(cái)控股金圣宏:廣東省集成電路產(chǎn)業(yè)基金二期正在籌備

在高峰論壇的開(kāi)幕式上,廣東粵財(cái)投資控股有限公司黨委書(shū)記兼董事長(zhǎng)金圣宏提到廣東省集成電路產(chǎn)業(yè)基金二期正在籌備中。他說(shuō),該基金一期設(shè)立于2021年,目前業(yè)務(wù)規(guī)模達(dá)310億人民幣,有包括中芯聚源在內(nèi)的三支子基金,已投資超百家企業(yè)。

為集聚集成電路產(chǎn)業(yè)資源,構(gòu)建優(yōu)質(zhì)投資合作生態(tài),基金二期正在推動(dòng)運(yùn)營(yíng)中,該基金預(yù)計(jì)陪伴企業(yè)長(zhǎng)期發(fā)展的期限為17年,為企業(yè)讓利60%?;鸲趯⒁曰涁?cái)控股為母基金核心層,以投資70億的中芯聚源、投資53億的武岳峰科創(chuàng)以及投資21億的華登國(guó)際為子基金緊密層,以其他社會(huì)資本為松散層,三層緊密結(jié)合,將共同推動(dòng)基金二期運(yùn)營(yíng)。

02.國(guó)家02專(zhuān)項(xiàng)技術(shù)總師葉甜春:補(bǔ)短板改變不了戰(zhàn)略被動(dòng),新型舉國(guó)體制迫在眉睫

在大會(huì)上,中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)集成電路分會(huì)理事長(zhǎng)、中國(guó)集成電路創(chuàng)新聯(lián)盟副理事長(zhǎng)兼秘書(shū)長(zhǎng)、國(guó)家科技重大專(zhuān)項(xiàng)02專(zhuān)項(xiàng)技術(shù)總師葉甜春對(duì)集成電路產(chǎn)業(yè)的創(chuàng)新之路進(jìn)行分享,提出要以再全球化對(duì)逆全球化。

回顧過(guò)去,他提到,自2008年到2022年來(lái),中國(guó)電子信息制造規(guī)模逐年增長(zhǎng),至2022年,該制造規(guī)模已達(dá)20.77萬(wàn)億元,粵港澳大灣區(qū)是集成電路發(fā)展的重點(diǎn)。而2022年全國(guó)集成電路進(jìn)口額達(dá)2.76萬(wàn)億元。2008年至2022年集成電路設(shè)計(jì)業(yè)銷(xiāo)售額增長(zhǎng)13.2倍、制造業(yè)銷(xiāo)售額增長(zhǎng)9.8倍、裝備業(yè)銷(xiāo)售額增長(zhǎng)30.8倍、集成電路材料業(yè)增長(zhǎng)8.5倍。2022年,國(guó)內(nèi)14家代表設(shè)備廠(chǎng)商營(yíng)業(yè)收入已超過(guò)300億元,預(yù)計(jì)總體增速達(dá)36%。

值得關(guān)注的是,內(nèi)資企業(yè)市場(chǎng)占比下降趨勢(shì)仍未扭轉(zhuǎn)。但在傳統(tǒng)封裝測(cè)試領(lǐng)域,2008年至2021年,封測(cè)業(yè)銷(xiāo)售額增長(zhǎng)4.5倍。談到如今我國(guó)集成電路領(lǐng)域面臨的主要挑戰(zhàn),葉甜春說(shuō),中國(guó)集成電路產(chǎn)業(yè)鏈多頭在外,高度依賴(lài)國(guó)際大循環(huán)。在過(guò)去的20年間,中國(guó)集成電路產(chǎn)業(yè)積極融入國(guó)際循環(huán),在高速發(fā)展的同時(shí)也形成了路徑依賴(lài)。2021年,在應(yīng)用領(lǐng)域,電子信息產(chǎn)品產(chǎn)業(yè)規(guī)模超過(guò)20000億美元,在芯片產(chǎn)品和芯片制造領(lǐng)域產(chǎn)業(yè)規(guī)模達(dá)5000億美元,而集成電路供應(yīng)鏈規(guī)模約1000億美元。

電子信息產(chǎn)品70%以上在中國(guó)大陸制造和組裝,但主要標(biāo)準(zhǔn)、核心技術(shù)和知識(shí)產(chǎn)權(quán)掌握在美國(guó)等西方企業(yè)手中。在集成電路供應(yīng)鏈方面,美國(guó)的EDA/IP占有全球90%的市場(chǎng),提供全球50%的裝備和20%的材料;日本提供全球約30%的裝備和70%的材料;歐洲提供全球約20%的裝備,主要是光刻機(jī),荷蘭ASML光刻機(jī)占全球市場(chǎng)的80%。中國(guó)大陸在28nm裝備和材料初步建立供給能力,目前傳統(tǒng)封裝產(chǎn)業(yè)規(guī)模世界第一,系統(tǒng)封裝集成技術(shù)達(dá)國(guó)際先進(jìn)水平,但產(chǎn)業(yè)規(guī)模不到全球10%。

目前我國(guó)已經(jīng)具備走出一條以我為主發(fā)展路徑的堅(jiān)實(shí)基礎(chǔ),在過(guò)去的15年里,我國(guó)培育了800余家重點(diǎn)骨干企業(yè),上市企業(yè)超過(guò)150家;全行業(yè)50余萬(wàn)從業(yè)人才,其中核心創(chuàng)新隊(duì)伍近10萬(wàn)人。

面對(duì)當(dāng)前產(chǎn)業(yè)形勢(shì),葉甜春提出了“建立內(nèi)循環(huán),引導(dǎo)雙循環(huán),重塑?chē)?guó)際集成電路循環(huán)體系”的戰(zhàn)略。他強(qiáng)調(diào)說(shuō),“補(bǔ)短板”只是戰(zhàn)術(shù)措施,改變不了戰(zhàn)略被動(dòng),戰(zhàn)略上求變才能掌握主動(dòng)。他談道,過(guò)去十五年“從無(wú)到有”進(jìn)行產(chǎn)業(yè)鏈布局后,中國(guó)需要“升級(jí)版的發(fā)展戰(zhàn)略”,推動(dòng)解決市場(chǎng)產(chǎn)品供給問(wèn)題。

下一階段戰(zhàn)略是“以產(chǎn)品為中心,以行業(yè)解決方案為牽引”,推動(dòng)系統(tǒng)應(yīng)用、設(shè)計(jì)、制造和裝備材料融合發(fā)展。從“追趕戰(zhàn)略” 轉(zhuǎn)向“路徑創(chuàng)新戰(zhàn)略”,要更多發(fā)揮中國(guó)市場(chǎng)崛起的優(yōu)勢(shì),以中國(guó)市場(chǎng)引領(lǐng)全球市場(chǎng),立足中國(guó)市場(chǎng),在若干核心技術(shù)領(lǐng)城形成具有特色的創(chuàng)新技術(shù)和創(chuàng)新產(chǎn)品,開(kāi)辟新賽道,技術(shù)創(chuàng)新與商業(yè)模式創(chuàng)新并行,形成內(nèi)循環(huán)+雙循環(huán),重塑全球產(chǎn)業(yè)鏈。技術(shù)創(chuàng)新戰(zhàn)略上,路徑創(chuàng)新、換道發(fā)展才是出路。

中國(guó)在現(xiàn)有技術(shù)路徑上遭遇壁壘,將倒逼“路徑創(chuàng)新”,給FDSOI、三維晶體管等技術(shù)帶來(lái)機(jī)遇。比如集成方法從平面向三維技術(shù)演進(jìn),功能融合趨勢(shì)將拓展出新空間,設(shè)計(jì)創(chuàng)新、架構(gòu)創(chuàng)新、EDA智能化硬件開(kāi)源化等成為新焦點(diǎn)。在關(guān)鍵路徑上,行業(yè)用產(chǎn)需要改變單純的“國(guó)產(chǎn)替代”的思路,下決心重構(gòu)系統(tǒng),梳理產(chǎn)品體系,重新定義芯片,立足國(guó)內(nèi)集成電路能力建立供應(yīng)鏈。

集成電路行業(yè),還要基于創(chuàng)新路徑,重建產(chǎn)業(yè)生態(tài),有力支撐行業(yè)用戶(hù)的新需求。迫在眉睫的問(wèn)題在于,推動(dòng)國(guó)家科技重大專(zhuān)項(xiàng)接續(xù),再次啟動(dòng)產(chǎn)業(yè)、科技、金融三鏈融合的“新型舉國(guó)體制”。他呼吁中國(guó)集成電路產(chǎn)業(yè)加強(qiáng)團(tuán)結(jié),加強(qiáng)協(xié)同,鞏固“中國(guó)集成電路命運(yùn)共同體”,停止“內(nèi)卷”,遵守商業(yè)規(guī)則,建立利益分享機(jī)制。

03.國(guó)家01專(zhuān)項(xiàng)技術(shù)總師魏少軍:世界還要看中國(guó)怎么發(fā)展,軟件定義芯片是奇招

中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)設(shè)計(jì)分會(huì)理事長(zhǎng)、中國(guó)集成電路創(chuàng)新聯(lián)盟常務(wù)副理事長(zhǎng)、國(guó)家科技重大專(zhuān)項(xiàng)01專(zhuān)項(xiàng)技術(shù)總師魏少軍談到影響全球供應(yīng)鏈的十個(gè)主要因素為:成本、交貨期、能力、技術(shù)、標(biāo)準(zhǔn)、市場(chǎng)、經(jīng)濟(jì)、文化、社會(huì)、政治。魏少軍說(shuō),全球供應(yīng)鏈的每一環(huán)最重要的都是創(chuàng)造利潤(rùn),追求利潤(rùn)是行業(yè)運(yùn)轉(zhuǎn)的大前提,為什么需要供應(yīng)鏈的全球化,因?yàn)樗莾?nèi)在發(fā)展規(guī)律,追求利潤(rùn)最大化是企業(yè)的天性,而全球供應(yīng)鏈可實(shí)現(xiàn)利潤(rùn)最大化。

在過(guò)去的20年,中國(guó)集成電路設(shè)計(jì)業(yè)雖然取得了重大的進(jìn)步,但仍存在一些短板,比如產(chǎn)品定義不強(qiáng)、創(chuàng)新不足,產(chǎn)品進(jìn)步主要依賴(lài)工藝技術(shù)進(jìn)步和EDA工具進(jìn)步,同樣的產(chǎn)品性能需要使用比競(jìng)爭(zhēng)對(duì)手更先進(jìn)的工藝,而同樣的工藝做出的產(chǎn)品,性能往往落后于競(jìng)爭(zhēng)對(duì)手。魏少軍提出,“以生產(chǎn)為中心的模式”已經(jīng)過(guò)時(shí),芯片制造廠(chǎng)與設(shè)計(jì)企業(yè)之間的關(guān)系應(yīng)該是相互合作模式。

過(guò)去三十年半導(dǎo)體產(chǎn)業(yè)模式不斷演進(jìn),魏少軍說(shuō),我們?cè)O(shè)計(jì)和制造之間沒(méi)有連接起來(lái)。設(shè)計(jì)工程師廣泛依賴(lài)于PDK,設(shè)計(jì)工程師要補(bǔ)課。芯片制造要以產(chǎn)品為中心關(guān)心客戶(hù)的產(chǎn)品兩者結(jié)合,以生產(chǎn)為中心不行。能否用14nm做出4nm的水平?關(guān)鍵在于設(shè)計(jì)制造合作,而不是關(guān)起門(mén)來(lái),我們需要設(shè)計(jì)工藝的協(xié)同。

隨著全球半導(dǎo)體供應(yīng)鏈正在經(jīng)歷大變局,供應(yīng)鏈的碎片化使得設(shè)計(jì)和工藝之間的聯(lián)系逐漸弱化,強(qiáng)化設(shè)計(jì)和工藝的協(xié)同是提升供應(yīng)鏈安全的重要任務(wù)。魏少軍說(shuō),某些國(guó)家打壓中國(guó)企業(yè),部分原因是他們要調(diào)整去工業(yè)化造成的內(nèi)部空心化狀態(tài),這部分國(guó)家想把中國(guó)排除在全球半導(dǎo)體供應(yīng)鏈之外,都是枉顧半導(dǎo)體產(chǎn)業(yè)發(fā)展規(guī)律。

他談道,中國(guó)集成電路發(fā)展需要守正,然后出奇。出奇就要出奇兵,要有創(chuàng)新,另一個(gè)奇招是軟件定義芯片,然后是異質(zhì)堆疊集成技術(shù),把邏輯電路的晶圓和存儲(chǔ)器的晶圓面對(duì)面,通過(guò)三維混合鍵合形成一體。中國(guó)受到制約的背景下,把軟件定義芯片的技術(shù)和進(jìn)程計(jì)算的這兩個(gè)技術(shù)結(jié)合起來(lái)也許會(huì)有新的奇效。從技術(shù)、生產(chǎn)力、產(chǎn)業(yè)鏈到產(chǎn)業(yè)模式,中國(guó)一直在做產(chǎn)業(yè)的升級(jí),技術(shù)從低到高、從勞動(dòng)密集型轉(zhuǎn)向智力密集型轉(zhuǎn)變,生產(chǎn)力和產(chǎn)業(yè)鏈都在往高端走,而西方國(guó)家在做產(chǎn)業(yè)重建,與中國(guó)完全相反。

魏少軍說(shuō),目前歐美產(chǎn)品都是空心化的狀態(tài),印度有潛力但還沒(méi)達(dá)到實(shí)現(xiàn)目標(biāo)的水平。因此在短期內(nèi),也許十年、二十年、五十年,世界還是要看中國(guó)怎么發(fā)展。

04.華潤(rùn)微電子李虹:國(guó)產(chǎn)設(shè)備&材料戰(zhàn)略機(jī)遇期,四大要求不可松懈

華潤(rùn)微電子CEO李虹說(shuō),2010年至2021年全球半導(dǎo)體行業(yè)經(jīng)歷了多輪周期,跌宕起伏,但長(zhǎng)期來(lái)看半導(dǎo)體市場(chǎng)需求依舊支撐其長(zhǎng)期增長(zhǎng)。隨著5G物聯(lián)網(wǎng)、新能源等新興應(yīng)用場(chǎng)景出現(xiàn),2022年全球市場(chǎng)有望越過(guò)6400億美元。2014年起中國(guó)大陸地區(qū)已逐漸承接全球半導(dǎo)體產(chǎn)業(yè),消費(fèi)與設(shè)備市場(chǎng)均已超過(guò)1/3份額。而預(yù)計(jì)2021至2030年期間,全球新能源每年支出3萬(wàn)億美元,半導(dǎo)體下游行業(yè)超過(guò)2萬(wàn)億美元。在此背景下,中國(guó)半導(dǎo)體產(chǎn)業(yè)鏈設(shè)備、材料板塊處于重要的戰(zhàn)略機(jī)遇期,盡管在半導(dǎo)體設(shè)備、材料板塊,美、日、歐半導(dǎo)體企業(yè)仍占據(jù)較大的市場(chǎng)份額,但是中國(guó)半導(dǎo)體設(shè)備、材料呈現(xiàn)從“造”到“用”的新氣象。半導(dǎo)體是國(guó)際化、市場(chǎng)化產(chǎn)業(yè),目前國(guó)內(nèi)企業(yè)積極認(rèn)證國(guó)產(chǎn)設(shè)備和材料,這對(duì)于國(guó)內(nèi)半導(dǎo)體設(shè)備和材料企業(yè)是一個(gè)非常好的機(jī)會(huì)。但從用戶(hù)的角度出發(fā),Safety(安全)、Quality(質(zhì)量)、Delivery(交付)、Cost(成本)四個(gè)方面的要求絲毫不能放松。

李虹將新能源市場(chǎng)分為風(fēng)電市場(chǎng)、光伏市場(chǎng)、儲(chǔ)能市場(chǎng)、新能源汽車(chē)市場(chǎng)四大部分。全球風(fēng)電項(xiàng)目招標(biāo)市場(chǎng)持續(xù)火熱,總招標(biāo)規(guī)模達(dá)8800萬(wàn)千瓦,同比漲幅高達(dá)153%。而在光伏市場(chǎng)領(lǐng)域,預(yù)計(jì)到2025年,全球光伏新增裝機(jī)量將達(dá)到175-205GW。由于政策驅(qū)動(dòng)國(guó)內(nèi)外儲(chǔ)能行業(yè)高速發(fā)展,國(guó)內(nèi)儲(chǔ)能產(chǎn)業(yè)鏈也日漸成熟。再觀(guān)新能源汽車(chē)市場(chǎng),目前行業(yè)正處于補(bǔ)貼驅(qū)動(dòng)向市場(chǎng)驅(qū)動(dòng)的過(guò)渡階段,行業(yè)進(jìn)入新一輪高景氣周期。

05.芯盟科技洪齊元:三維異構(gòu)集成是高性能芯片的必然趨勢(shì)

根據(jù)IDC的預(yù)測(cè),未來(lái)3年全球新增的數(shù)據(jù)量將超過(guò)過(guò)去30年的總和;2025年全球新增數(shù)據(jù)量可達(dá)175ZB;截至去年年底,中國(guó)的存力總量以達(dá)1ZB,我國(guó)對(duì)算力、存力的資源需求不斷提升。計(jì)算場(chǎng)景創(chuàng)新亟需芯片更強(qiáng)算力的支撐,工信部統(tǒng)計(jì)顯示,截至去年底,我國(guó)算力總規(guī)模達(dá)到180百億億次浮點(diǎn)運(yùn)算每秒,算力每投入1元,將帶動(dòng)3至4元的GDP經(jīng)濟(jì)增長(zhǎng)。與此同時(shí),算力應(yīng)用的場(chǎng)景也更加豐富,AI訓(xùn)練模型、數(shù)據(jù)中心、VR游戲、自動(dòng)駕駛等需求逐漸變得多樣化。

芯盟科技副總裁洪齊元提出,訓(xùn)練AI(人工智能)所需要的數(shù)據(jù)大幅增長(zhǎng),是真實(shí)產(chǎn)生數(shù)據(jù)的3倍。在不到一年的時(shí)間里,數(shù)十種AI模型已悄然進(jìn)入我們的世界,它們創(chuàng)造著海量的文本、圖片、視頻和代碼,以編程代碼為例,預(yù)計(jì)在2023年AI產(chǎn)生的數(shù)據(jù)量將是人類(lèi)的10倍。傳統(tǒng)形態(tài)的集成電路發(fā)展放緩,已無(wú)法滿(mǎn)足算力、存力的更高需求。

因此,三維異構(gòu)集成是高性能芯片的必然發(fā)展趨勢(shì),三維異構(gòu)集成可使芯片制造工藝更可控,同時(shí)極大增加帶寬降低功耗,而成本也將更低。洪齊元介紹道,小芯片(Chiplet)技術(shù)就像搭積木一樣,可以把預(yù)先生產(chǎn)好的實(shí)現(xiàn)特定功能的芯片裸片(die)通過(guò)先進(jìn)封裝形式將多顆小芯片組合,小芯片與小芯片之間帶寬低,最終形態(tài)仍為多顆芯片封裝。而HITOC(Heterogeneous Integration Technology On Chip)通過(guò)封裝和混合鍵合等不同方式實(shí)現(xiàn),最終將多顆“小芯片”合為一個(gè)整體。最終三維集成的理想形態(tài)是一顆單獨(dú)且功能完整的新芯片。

06.長(zhǎng)電科技鄭力:高性能封裝續(xù)命摩爾定律

長(zhǎng)電科技CEO鄭力強(qiáng)調(diào)高性能封裝已經(jīng)成為集成電路制造的核心環(huán)節(jié)之一,異質(zhì)異構(gòu)系統(tǒng)集成的發(fā)展為集成電路高性能的發(fā)展提供新空間,高性能封裝將重塑集成電路產(chǎn)業(yè)鏈。鄭力說(shuō),Die-To-Die 2.5D/3D封裝是邏輯、模擬、射頻、功率、光、傳感器等小芯片異質(zhì)集成的重要途徑。高密度的SiP技術(shù)與晶圓級(jí)2.5D/3D封裝技術(shù)異曲同工。傳統(tǒng)的摩爾定律(晶體管尺寸密度每18個(gè)月翻倍)在過(guò)去的50余年推動(dòng)了集成電路性能的不斷提升,但未來(lái)集成電路高性能的持續(xù)演進(jìn)更依賴(lài)于微系統(tǒng)集成技術(shù)。異構(gòu)異質(zhì)高性能封裝對(duì)芯片成品制造帶來(lái)架構(gòu)設(shè)計(jì)、封裝方式、高精度組裝技術(shù)等諸多挑戰(zhàn),如何應(yīng)對(duì)這些挑戰(zhàn),鄭力提出,應(yīng)堅(jiān)持芯片成品制造環(huán)節(jié)與IC設(shè)計(jì)晶圓制造環(huán)節(jié)緊密協(xié)同,全行業(yè)應(yīng)共同參與Chiplet標(biāo)準(zhǔn)化進(jìn)程,加速多樣化高性能芯片成品制造平臺(tái)創(chuàng)新,同時(shí),設(shè)備自動(dòng)化與高性能新材料也必不可少。

最后,鄭力總結(jié)道,Chiplet架構(gòu)下的2.5D/3D封裝和高密度SiP封裝是摩爾定律向前發(fā)展的必經(jīng)之路,也將成為下一代先進(jìn)封裝技術(shù)的必備項(xiàng)和必選項(xiàng),STCO系統(tǒng)技術(shù)協(xié)同優(yōu)化模式是芯片開(kāi)發(fā)的核心從器件集成走向微系統(tǒng)集成的分水嶺,高性能封裝呼喚封裝設(shè)備產(chǎn)業(yè)鏈的高度自動(dòng)化和半導(dǎo)體封裝材料的高精細(xì)化進(jìn)步。

07.滬硅產(chǎn)業(yè)邱慈云:打破大硅片國(guó)產(chǎn)化困局,目標(biāo)月產(chǎn)能120萬(wàn)片

滬硅產(chǎn)業(yè)成立于2015年12月,專(zhuān)注于硅材料產(chǎn)業(yè)及其生態(tài)系統(tǒng)發(fā)展。2020年4月20日,滬硅產(chǎn)業(yè)在上海證券交易所科創(chuàng)板正式掛牌上市。滬硅產(chǎn)業(yè)旗下有控股子公司上海新昇半導(dǎo)體有限公司、上海新傲科技股份有限公司、芬蘭Okmetic公司等,此外還參股了法國(guó)Soitec公司等。滬硅產(chǎn)業(yè)主要從事半導(dǎo)體硅片的研發(fā)、生產(chǎn)和銷(xiāo)售,是中國(guó)大陸規(guī)模最大的半導(dǎo)體硅片企業(yè),是中國(guó)大陸率先實(shí)現(xiàn)300mm半導(dǎo)體硅片規(guī)?;N(xiāo)售的企業(yè)。滬硅產(chǎn)業(yè)自設(shè)立以來(lái),突破了多項(xiàng)半導(dǎo)體硅片制造領(lǐng)域的關(guān)鍵核心技術(shù),打破了我國(guó)300mm半導(dǎo)體硅片國(guó)產(chǎn)化率幾乎為0%的局面,推進(jìn)了我國(guó)半導(dǎo)體關(guān)鍵材料生產(chǎn)技術(shù)“自主可控”的進(jìn)程。滬硅產(chǎn)業(yè)提供的產(chǎn)品類(lèi)型涵蓋300mm拋光片及外延片、200mm及以下拋光片、外延片及SOI硅片,產(chǎn)品主要應(yīng)用于邏輯與存儲(chǔ)芯片、圖像處理芯片、通用處理器芯片、傳感器、射頻芯片模擬芯片等領(lǐng)域。

滬硅產(chǎn)業(yè)總裁、上海新昇半導(dǎo)體CEO邱慈云博士提出300mm硅晶圓產(chǎn)能愿景,目前正在提供60萬(wàn)片每月的產(chǎn)能,以縮小與國(guó)際供應(yīng)商規(guī)模差距,擴(kuò)大市場(chǎng)份額,滬硅產(chǎn)業(yè)在第三階段的最終目標(biāo)則是提供120萬(wàn)片每月的產(chǎn)能,建設(shè)世界級(jí)半導(dǎo)體硅晶圓產(chǎn)業(yè)基地。

08.盛美半導(dǎo)體王暉:十年內(nèi)國(guó)產(chǎn)設(shè)備商必進(jìn)世界八強(qiáng)

目前各類(lèi)科技應(yīng)用場(chǎng)景飛速發(fā)展,智能手機(jī)、云技術(shù)、人工智能、物聯(lián)網(wǎng)以及自動(dòng)駕駛五大應(yīng)用正強(qiáng)力驅(qū)動(dòng)著半導(dǎo)體市場(chǎng)的持續(xù)成長(zhǎng)。半導(dǎo)體設(shè)備公司的興起與成長(zhǎng)緊緊跟隨全球芯片制造中心的遷移,不論是半導(dǎo)體產(chǎn)業(yè)中心在70~80年代的美國(guó),80~90年代的日本,還是90年代之后的韓國(guó)和中國(guó)臺(tái)灣地區(qū),各個(gè)階段都在當(dāng)?shù)厥袌?chǎng)上形成了半導(dǎo)體設(shè)備巨頭。未來(lái)10年,中國(guó)有望成為全球半導(dǎo)體芯片制造的中心。由于半導(dǎo)體制造技術(shù)日趨成熟,在這波興起的中國(guó)芯片制造潮流中,只有擁有革命性、顛覆性核心技術(shù)的公司才有可能成為全球半導(dǎo)體設(shè)備市場(chǎng)主要參與者。

中國(guó)半導(dǎo)體設(shè)備公司起步較晚,發(fā)展雖然任重而道遠(yuǎn),但是今后10年一定會(huì)有中國(guó)的半導(dǎo)體設(shè)備公司進(jìn)入世界八強(qiáng)。

談到盛美半導(dǎo)體濕法設(shè)備的競(jìng)爭(zhēng)格局,董事長(zhǎng)王暉自信滿(mǎn)滿(mǎn),本土12英寸晶圓廠(chǎng)清洗設(shè)備主要來(lái)自國(guó)際半導(dǎo)體清洗設(shè)備提供商DNS、盛美半導(dǎo)體、LAM(泛林半導(dǎo)體)、TEL(東京電子)。而盛美半導(dǎo)體的市占率領(lǐng)先國(guó)內(nèi)同行,本土替代空間廣闊,國(guó)際市場(chǎng)充滿(mǎn)機(jī)會(huì)。

09.上海哥瑞利孫志巖:解決12寸FAB廠(chǎng)制造系統(tǒng)“卡脖子”難題

半導(dǎo)體12寸MES是支撐整個(gè)工廠(chǎng)運(yùn)行的大型核心應(yīng)用系統(tǒng),如果宕機(jī),整個(gè)FAB都會(huì)停擺。但是目前FAB廠(chǎng)難以考慮國(guó)產(chǎn)化,國(guó)家也尚未支持到此。

哥瑞利創(chuàng)始人兼董事長(zhǎng)孫志巖分享道,哥瑞利成立于2007年,于2010年擁有首例自主研發(fā)半導(dǎo)體前道8寸MES。2014年,哥瑞利為全國(guó)首家可代替國(guó)外PCC/RCM的公司。2020年,該公司國(guó)內(nèi)首家推出iDEP智能分析平臺(tái),首套半導(dǎo)體裝備成功替代國(guó)外。2022年,哥瑞利12寸前道全廠(chǎng)MES Auto 3研發(fā)成功,實(shí)現(xiàn)了許多從“0”到“1”的突破。半導(dǎo)體裝備軟件MESwell從2008年開(kāi)始起步,經(jīng)過(guò)十余年的發(fā)展,從拉晶、外延、半導(dǎo)體前道、半導(dǎo)體后道、SMT到設(shè)備自動(dòng)化整合,完成整場(chǎng)MES項(xiàng)目,實(shí)現(xiàn)全廠(chǎng)自動(dòng)化。

對(duì)于解決12寸MES“卡脖子”問(wèn)題,孫志巖提出了三點(diǎn)建議:一是國(guó)產(chǎn)化率指標(biāo)要求太粗糙,應(yīng)精準(zhǔn)扶持國(guó)產(chǎn)化卡脖子技術(shù)點(diǎn);二是國(guó)家應(yīng)該多招募相關(guān)技術(shù)點(diǎn)專(zhuān)家、科學(xué)家、戰(zhàn)略家,系統(tǒng)地攻關(guān);三是是否成功的考核點(diǎn)應(yīng)該為是否解決了卡脖子問(wèn)題,而不是給了多少錢(qián),掙了多少錢(qián)。

10.聚時(shí)科技鄭軍:精密視覺(jué)與深度學(xué)習(xí)助力晶圓缺陷檢測(cè)

伴隨集成電路(IC)制造工藝?yán)^續(xù)向10nm及以下節(jié)點(diǎn)延拓,針對(duì)IC制造過(guò)程中的關(guān)鍵工序開(kāi)展晶圓表面缺陷檢測(cè),從而實(shí)現(xiàn)IC制造的工藝質(zhì)量監(jiān)控與良率管理,已成為半導(dǎo)體制程不同工藝階段的必然選擇。

聚時(shí)科技創(chuàng)始人兼CEO鄭軍說(shuō),圖形化晶圓(patterned wafer)的自動(dòng)光學(xué)檢測(cè)一直是長(zhǎng)期伴隨IC制造發(fā)展的工程問(wèn)題,隨著技術(shù)的發(fā)展,通過(guò)復(fù)雜精密視覺(jué)檢測(cè)技術(shù)并結(jié)合自定義AI神經(jīng)網(wǎng)絡(luò),可大幅提高良率管控的精準(zhǔn)度,使得檢測(cè)成本明顯降低且檢測(cè)效率顯著提升,此類(lèi)智能視覺(jué)檢測(cè)設(shè)備在半導(dǎo)體生產(chǎn)制程中具有廣闊的市場(chǎng)前景。AI可為半導(dǎo)體行業(yè)者帶來(lái)可觀(guān)收益,其長(zhǎng)期利潤(rùn)回報(bào)最高可達(dá)950億美金。先進(jìn)視覺(jué)成像技術(shù)結(jié)合復(fù)雜深度學(xué)習(xí)算法,可以快速精準(zhǔn)地對(duì)晶圓表面亞微米級(jí)別缺陷進(jìn)行精準(zhǔn)定位和分類(lèi),同時(shí)通過(guò)智能數(shù)字化管理軟件對(duì)異常缺陷進(jìn)行統(tǒng)計(jì)分析并及時(shí)反饋決策層,體現(xiàn)了數(shù)字化工廠(chǎng)閉環(huán)管理的絕對(duì)優(yōu)勢(shì)。

半導(dǎo)體精密光學(xué)成像技術(shù)MatrixSemi獨(dú)創(chuàng)底層深度模型加大模型、矩陣模型,解決了缺陷檢測(cè)種類(lèi)多、無(wú)規(guī)律、缺陷易混淆等挑戰(zhàn)。聚時(shí)科技深耕復(fù)雜智能視覺(jué)檢測(cè)領(lǐng)域,發(fā)揮自身獨(dú)特的2D/3D視覺(jué)模組設(shè)計(jì)能力和基于深度學(xué)習(xí)的圖像分析能力,并將這兩項(xiàng)核心技術(shù)封裝成專(zhuān)用設(shè)備,為半導(dǎo)體前道晶圓宏觀(guān)缺陷檢測(cè)、中后道晶圓表面缺陷檢測(cè)提供一系列高性能智能化量檢測(cè)解決方案。

11.微崇半導(dǎo)體黃崇基:量檢測(cè)—半導(dǎo)體工藝的眼睛?

半導(dǎo)體工藝技術(shù)十分復(fù)雜,涉及物理、化學(xué)、機(jī)械、軟件等眾多學(xué)科領(lǐng)域,是人類(lèi)科技的結(jié)晶之一。隨著半導(dǎo)體制程的進(jìn)步和產(chǎn)能的擴(kuò)張,晶圓生產(chǎn)的過(guò)程檢測(cè)也變得越來(lái)越重要。微崇半導(dǎo)體創(chuàng)始人兼CEO黃崇基介紹道,過(guò)程檢測(cè)主要分為量測(cè)和檢測(cè),是半導(dǎo)體工藝的眼睛。量檢測(cè)是除光刻、薄膜沉積、刻蝕外,最大的半導(dǎo)體設(shè)備細(xì)分類(lèi)市場(chǎng)。目前主要的過(guò)程檢測(cè)市場(chǎng)由KLA、應(yīng)用材料等海外企業(yè)所主導(dǎo),國(guó)內(nèi)也涌現(xiàn)出一批替代型的企業(yè)。

目前半導(dǎo)體制程和工藝日益先進(jìn),更多新的量檢測(cè)應(yīng)用場(chǎng)景也隨即出現(xiàn),在傳統(tǒng)檢測(cè)站點(diǎn)持續(xù)助力晶圓廠(chǎng)增效降損和保證良率的背景下,新型量檢測(cè)技術(shù)也在為晶圓廠(chǎng)提供額外的稀缺性的價(jià)值,微崇半導(dǎo)體開(kāi)發(fā)的創(chuàng)新型晶圓檢測(cè)技術(shù)在前道工藝的多個(gè)節(jié)點(diǎn)都可以幫助客戶(hù)實(shí)現(xiàn)從零到一的突破,增效降損和提高利率。

12.結(jié)語(yǔ):集成電路設(shè)計(jì)與制造緊密協(xié)同

在眾多嘉賓的分享過(guò)程中,“自主創(chuàng)新”與“設(shè)計(jì)制造結(jié)合”反復(fù)被提及,創(chuàng)新驅(qū)動(dòng)型經(jīng)濟(jì)時(shí)代已經(jīng)來(lái)臨,中國(guó)作為世界第二經(jīng)濟(jì)大國(guó),半導(dǎo)體產(chǎn)業(yè)作為國(guó)民經(jīng)濟(jì)基礎(chǔ)性支撐備受重視。在以往,半導(dǎo)體產(chǎn)業(yè)常常把設(shè)計(jì)與制造分開(kāi),打造以“生產(chǎn)為中心的模式”,但如今隨著全球經(jīng)濟(jì)的變動(dòng),地緣政治因素的影響,芯片制造廠(chǎng)與設(shè)計(jì)公司之間的關(guān)系已經(jīng)不僅僅是單純的商業(yè)委托,全球供應(yīng)鏈的碎片化迫使我國(guó)要將芯片制造廠(chǎng)的能力和客戶(hù)的能力有機(jī)地結(jié)合在一起,強(qiáng)化設(shè)計(jì)工藝協(xié)同,提升供應(yīng)鏈安全。

相關(guān)推薦

電子產(chǎn)業(yè)圖譜