加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴散
  • 作品版權(quán)保護
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • 臺積電一家獨大,三星與英特爾伯仲難分
    • 2納米誰將拔得頭籌
    • 結(jié)語
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

半導(dǎo)體先進制程“三雄”爭鋒

2022/07/21
1601
閱讀需 13 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

在當下的半導(dǎo)體先進制程領(lǐng)域中,三星、英特爾、臺積電可謂是三足鼎立,各有千秋。

三星是IDM和Foundry企業(yè),在存儲器領(lǐng)域長期霸占全球首位,占比約為40%,在全球代工領(lǐng)域排名第二,2021年銷售額達820億美元,躍居全球首位;英特爾是IDM企業(yè),主要以微處理器為主,2021年銷售額達到738億美元,位居全球第二位;而臺積電是全球Foundry企業(yè)的首位,占比為54%,2021年銷售額達到565億美元。

現(xiàn)在,業(yè)內(nèi)總喜歡將摩爾定律作為指引,用來比較誰的工藝制程技術(shù)占先。而實際上,全球半導(dǎo)體業(yè)自22納米之后,就不再用晶體管的柵長來定義工藝尺寸了,這也導(dǎo)致當下產(chǎn)生了很多分岐,使得三星、英特爾、臺積電之間的競爭顯得撲朔迷離。

臺積電一家獨大,三星與英特爾伯仲難分

從銷售額來說,高德納咨詢公司發(fā)布數(shù)據(jù)顯示,三星2021年半導(dǎo)體銷售額達732億美元,市場份額達12.3%。這是三星時隔三年再度力壓英特爾奪回市場份額冠軍。

三星本就是全球存儲器領(lǐng)域的王者,已經(jīng)連續(xù)霸榜多年,據(jù)統(tǒng)計,2021年它的DRAM市占率達到了43.6%,NAND達到了35%。

連臺積電創(chuàng)始人張忠謀也承認三星如同“700磅的大猩猩”,很有實力。

三星在2019年曾喊出要砸下133兆韓元(約合1100億美元)發(fā)展系統(tǒng)邏輯芯片,及晶圓代工等業(yè)務(wù),目的是要在2030年超越臺積電,搶下晶圓代工龍頭的寶座。

據(jù)TrendForce集邦咨詢的數(shù)據(jù),盡管三星在2021年第四季的晶圓代工營收突破新高,達到了55.4億美元,但還是屈居第二。因為臺積電的營收達到了驚人的157.5億美元,并且還掌握著全球超過五成的市占率,穩(wěn)居第一。

在競爭激烈的3納米制程工藝方面,三星和臺積電的技術(shù)路線并不相同,三星首先采用全環(huán)繞柵極晶體管(GAA),臺積電則是繼續(xù)采用鰭式場效應(yīng)晶體管(FinFET)架構(gòu)。

自1993年,英特爾從日本NEC手中奪得全球銷售額第一,已經(jīng)連續(xù)稱霸近30年。在半導(dǎo)體工藝制程技術(shù)方面,英特爾2003年的應(yīng)變硅技術(shù)、2007年的HKMG技術(shù)以及2011年的3D finfet技術(shù),對于全球半導(dǎo)體行業(yè)來說都具有著里程碑似的意義。

但是在2014年,從14納米finfet工藝開始,或許是因為英特爾此前前進的步伐邁的太大了,后面就開始逐漸減速,尤其是在10納米制程工藝期間,耽誤了太長時間。

近日英特爾在VLSI技術(shù)研討會上,給出了有關(guān)Intel 4工藝的更多細節(jié)。

英特爾最新制程工藝路線圖(圖片來源:英特爾公司)

據(jù)了解,Intel 4是英特爾首個采用EUV光刻技術(shù)的工藝、相較于Intel 7,能夠?qū)崿F(xiàn)每瓦提升20%的性能。英特爾CEO帕特·基爾辛格宣布于2021年第二季度Intel 4已經(jīng)進入tape-in階段。

據(jù)傳,Intel 4的性能可能在臺積電的N5及N3E之間。

Intel 4與TSMC N5及N3E的各項數(shù)組對比

由此可知,如果Intel 4能實現(xiàn)量產(chǎn),那么英特爾與臺積電之間的工藝研發(fā)差距就將縮小到一年左右。接下來,就要看Intel 20A及Intel 18A的進程,也就是3納米與2納米的比拼。

從另一方面來說,近期臺積電在美設(shè)5納米芯片制造廠,英特爾也欲重振其鼓,計劃在美國亞利桑那州投資200億美元興建兩個晶圓廠,新設(shè)代工事業(yè)部(IFS),并且同樣計劃在2025年量產(chǎn)2納米。

目前,先進工藝制程的定義并不統(tǒng)一,各有各的邏輯與說法,但近2-3年來不爭的事實是,包括英特爾在內(nèi)的全球Fabless大客戶的訂單幾乎全都落入了臺積電的囊中。因此,在現(xiàn)階段,業(yè)內(nèi)的共識都是臺積電暫時獲得領(lǐng)先,所以三星和英特爾都視臺積電為首要競爭對手,這也在情理之中。

在全球三足鼎立之勢下,臺積電的成功讓業(yè)界刮目相看。因為在2020年第一季度,臺積電的營收為103.1億美元,與英特爾的198億美元相比,似乎還“遙不可及”。但在之后的9個季度中,臺積電營收一直穩(wěn)步呈線性增長;而英特爾則由于業(yè)務(wù)結(jié)構(gòu)問題,營收只能保持平穩(wěn)。所以在僅9個季度之后,臺積電就實現(xiàn)了趕超之勢。

在今年第一季度,臺積電營收為175.7億美元,而英特爾則為184億美元,雙方之間的差距已在毫厘之間。

今年的第二季度,臺積電在4月14日的財報中披露,預(yù)計營收為176億~182億美元,毛利潤率預(yù)計在56%-58%之間。而英特爾預(yù)計第二季度營收約180億美元,就業(yè)績預(yù)期而言,臺積電二季度的營收將有望超過英特爾。

如能實現(xiàn),就將是臺積電自1986年成立以來,首次在季度營收上超過英特爾。

臺積電的成功非一日之功,是各種綜合因素的集中體現(xiàn),除了有張忠謀領(lǐng)軍之外,還取決于它一直在堅守代工文化,服務(wù)客戶第一,與半導(dǎo)體設(shè)備廠緊密合作,以及加強投資等等。盡管英特爾、三星也有獨到之處,但是不可否認它們都不可能在代工領(lǐng)域如臺積電那樣的高強度的專注,做到集中投資。未來臺積電在全球半導(dǎo)體業(yè)中的權(quán)重因子可能還會日益增強。

所以,我的判斷未來在全球代工領(lǐng)域中,可能仍是臺積電一家獨大,而三星與英特爾可能在伯仲之間。

2納米誰將拔得頭籌

目前,先進工藝制程已達3納米,今年下半年臺積電、三星都聲稱可能試產(chǎn)2納米。

對于2納米技術(shù)來說,現(xiàn)在還為時尚早。因為它與3納米不同,架構(gòu)、新型材料以及EUV設(shè)備等尚未就緒,所以業(yè)界僅是大致預(yù)測2025年可能試產(chǎn)。

從結(jié)構(gòu)上來講,根據(jù)國際器件和系統(tǒng)路線圖(IRDS)的規(guī)劃,在2021~2022年以后,鰭式場效應(yīng)晶體管(FinFET)結(jié)構(gòu)將逐步被環(huán)繞式柵極(GAAFET)結(jié)構(gòu)所取代。所謂GAAFET結(jié)構(gòu),是通過更大的柵極接觸面積提升對電晶體導(dǎo)電通道的控制能力,從而降低操作電壓、減少漏電流,有效降低芯片運算功耗與操作溫度。

英特爾晶體管的創(chuàng)新里程碑(圖片來源:英特爾公司)

隨著芯片制造工藝的進步,硅基芯片材料已無法滿足行業(yè)未來進一步發(fā)展的需要。2納米的制作過程中或?qū)⒁胍恍┬碌牟牧?,其中二維材料(如石墨烯、過渡金屬化合物)和一維材料(如碳納米管)引人關(guān)注。就碳納米管來說,其具有極高的載流子遷移率、非常薄的主體尺寸和優(yōu)良的導(dǎo)熱性。總體而言,新材料的引入或許會給行業(yè)帶來新的變革。

全球市場化中的競爭是條主線,誰也不甘心落后,這也是摩爾定律的神奇所在,它可以讓企業(yè)冒著巨大投資的風(fēng)險,義無反顧地去追隨它。

因為按定律的精髓,誰踩空一步,有可能在競爭中出局,實際上也反映巨頭們都試圖通過實現(xiàn)差異化而掌控先機。

目前臺積電,三星及英特爾都有了2納米的計劃,而且時間都定在2025年左右,顯然誰能真正達成,恐怕要看客戶的公正評價了。

據(jù)臺積電方面消息,它的首個2納米工廠——新竹N2廠正在進行土地取得作業(yè),計劃于2022年第三季度動工。據(jù)了解,新竹N2廠將分四期建設(shè),共建設(shè)4座12英寸晶圓廠,有望在2024年為蘋果手機量產(chǎn)新一代芯片。這也是迄今為止全球首個報道的2納米生產(chǎn)線。

臺積電近期在線上首次披露它的N2有關(guān)技術(shù)情況如下。

N2制程技術(shù):將采用嶄新的晶體管架構(gòu)Nanosheet,N2和N3E相比,在同樣功耗下速度增加15%,或是相同速度下,功耗會降低30%,同時芯片密度也將明顯進步。

N2的創(chuàng)新功能:在高效能運算平臺上,N2將提供晶圓背面的電源系統(tǒng)(backside Power),以全力支持芯粒(Chiplet)的整合,這些創(chuàng)新可以幫客戶提升產(chǎn)品整體性能,特別是速度和功耗。整體來看,N2會更好滿足未來半導(dǎo)體產(chǎn)品創(chuàng)新應(yīng)用的需求。

半導(dǎo)體新材料的研發(fā):在高速芯片設(shè)計中,低電阻和低電容的金屬變得越來越重要,臺積電正在研發(fā)一種新的制程工藝,其中將使用metal-RIE(金屬反應(yīng)離子式刻蝕),這種新技術(shù)可以在導(dǎo)線之間形成真空(airgap)隔離,降低20%~30%導(dǎo)線的有效電容。在導(dǎo)線方面,近幾十年一直以銅為主導(dǎo)材料,當銅導(dǎo)線的厚度非常小時,電阻值會急劇增加,這是未來半導(dǎo)體技術(shù)演進的障礙。目前,臺積電正在研究一種新的2D材料,有機會代替銅作為導(dǎo)線。由于2D材料具備獨特的傳導(dǎo)性能,因此電阻受到導(dǎo)線變薄的影響較少,從而保證芯片的高速運算性能。

另外,可能需要增加一些風(fēng)險意識,由于釆用了全新的架構(gòu)、新的材料,以及新的高NA EUV光刻機。另外,不可否認的事實是,不是有了高NA EUV設(shè)備就萬事大吉,尚需光刻膠,pellicle等配套,另外定律己近終點,各種隨機誤差都可能發(fā)生。因此,在研發(fā)2納米時,即便發(fā)生一些延誤也完全是可能的。

筆者認為,對于2納米技術(shù),從工藝技術(shù)路徑方面,三巨頭可能無大的差異,都是從FinFET,走向GAA,再有一些變異,而采用的設(shè)備都一樣,均為ASML的高NA EUV光刻機。因此,從理論上講,三家都可能成功,其中的差異化在于良率、產(chǎn)能及生產(chǎn)線管理等方面。

結(jié)語

全球三足鼎立之勢從專業(yè)化角度各有所長,英特爾的微處理器、三星的存儲器及臺積電的代工,它是個動態(tài)過程,此起彼伏。

但是從半導(dǎo)體業(yè)發(fā)展趨勢觀察,半導(dǎo)體市場在2030年可能增大到10000億美元,據(jù)英特爾CEO帕特·基辛格的說法,當今時代的四大超級技術(shù)力量分別是:無處不在的計算、從云到邊緣的基礎(chǔ)設(shè)施、無處不在的連接以及人工智能。

這四大技術(shù)對于未來萬物互聯(lián)以及全球數(shù)字化時代發(fā)展都有很大的推動作用。因此未來究竟誰能占先,可能尚不好預(yù)言,但是三強鼎立之勢已成定局,基本上不會有大的改變。

作者丨特約撰稿 莫大康

編輯丨陳炳欣

美編丨馬利亞

監(jiān)制丨連曉東

英特爾

英特爾

英特爾在云計算、數(shù)據(jù)中心、物聯(lián)網(wǎng)和電腦解決方案方面的創(chuàng)新,為我們所生活的智能互連的數(shù)字世界提供支持。

英特爾在云計算、數(shù)據(jù)中心、物聯(lián)網(wǎng)和電腦解決方案方面的創(chuàng)新,為我們所生活的智能互連的數(shù)字世界提供支持。收起

查看更多

相關(guān)推薦

電子產(chǎn)業(yè)圖譜