加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

產(chǎn)業(yè)丨“成長快樂”的國產(chǎn)FPGA已看到甜蜜點

2022/02/25
491
閱讀需 9 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

CPU、GPU、DSPFPGA這四大類計算芯片中,F(xiàn)PGA更容易實現(xiàn)完全自主可控。

FPGA設(shè)計流程中用到EDA和IP需要芯片廠商向客戶提供,以適應(yīng)客戶的要求。

全球幾家市占率較高的幾家FPGA公司都是向客戶提供自家的EDA工具,F(xiàn)PGA的設(shè)計更容易擺脫國外EDA和IP公司的限制。

 FPGA畫不出[大餅]

單純講FPGA,即便AI5G雙加持,但其依然會是一個小而美的市場。

           

根據(jù)Gartner的統(tǒng)計數(shù)據(jù),全球FPGA市場規(guī)模在2021年是82.94億美元,到2025年達(dá)到125.21億美元,基本上每一年的同比增長在10%-12%之間。

因此,總體上全球FPGA市場還是允許獨立FPGA廠商去做增量的,可能會在諸如高性能運算領(lǐng)域遇到明顯的壁壘。

但其他領(lǐng)域的機(jī)會并不會因為巨頭并購而減少,最終還是要以產(chǎn)品的性價比和性能來說話。

通信、工控和設(shè)計支持方面,國產(chǎn)FPGA依然會有大量的替代和新增的發(fā)展空間。

因此,從傳統(tǒng)的FPGA應(yīng)用以及后續(xù)新興應(yīng)用來看,國產(chǎn)FPGA還沒到抉擇獨立還是被并購的時候,提升產(chǎn)品力是當(dāng)下的首要任務(wù)。

不過,以長遠(yuǎn)計,F(xiàn)PGA市場小而美的特性就會成為國產(chǎn)FPGA的枷鎖,這是市場容量決定的,否則便會有大量的創(chuàng)新資源被浪費,無端地增加企業(yè)的成本,結(jié)果還是會有人頂不住選擇退場。

左手[砸錢] 右手[扣縫]

行業(yè)中有句老話,[造芯就是砸錢],相比來說,F(xiàn)PGA砸的更狠。

然而,F(xiàn)PGA常年被國外壟斷,受制于知識產(chǎn)權(quán)壁壘和EDA,我國FPGA發(fā)展在近十余年才開始陸續(xù)入局。

FPGA研發(fā)的技術(shù)含量很高,無論從邏輯單元數(shù)量到制程工藝等國內(nèi)外廠商均有差距。

雖然FPGA投入過大,但不同的產(chǎn)品功能、客戶群體、市場競爭環(huán)境都會影響公司的整體情況,這種情況之下,國產(chǎn)FPGA更難了。

目前階段,對于國內(nèi)FPGA廠商來說,最重要的還是替代市場。

國內(nèi)廠商性價比優(yōu)勢,都是國外廠商無法比擬的。2021年上半年,紫光同創(chuàng)完成新一輪增資,增資之下必然就是研發(fā)投入的持續(xù)加碼。

利潤逐步轉(zhuǎn)正,但投資力度不降反增,國產(chǎn)FPGA和其他芯片廠商的路途一樣,擺在面前的便是等待利潤突破紅線。

實際上,除了科創(chuàng)板上的三家企業(yè),國內(nèi)仍有許多國產(chǎn)FPGA廠商,包括易靈思、高云半導(dǎo)體、京微齊力等。

國產(chǎn)FPGA往[雙路線]發(fā)展

①國內(nèi)FPGA公司正在逐步進(jìn)入28nm,瞄準(zhǔn)中型FPGA市場,競爭將會逐漸激烈。

國產(chǎn)FPGA可從兩個方向打造差異化優(yōu)勢:一方面集成更多的邏輯單元,提升邏輯密度;

另一方面,向?qū)S们壹婢哽`活性的方向發(fā)展,針對特定細(xì)分場景進(jìn)行優(yōu)化。

②從系統(tǒng)結(jié)構(gòu)來看,更先進(jìn)工藝、更高速電路結(jié)構(gòu)、復(fù)雜異構(gòu)SoC將是FPGA未來趨勢。

目前國際主流FPGA芯片公司逐漸形成了在FPGA芯片中加入處理器的技術(shù)路線,并形成了可編程系統(tǒng)級芯片這一產(chǎn)物。

與傳統(tǒng)FPGA芯片不同,系統(tǒng)級芯片的特點是單芯片高度集成了電子信息設(shè)備所需的CPU、FPGA、存儲接口、I/O 外設(shè)接口甚至AI專用引擎等所有模塊,單顆芯片可完成應(yīng)用場景所有功能需求。

國產(chǎn)FPGA邁向高端的挑戰(zhàn)

當(dāng)前,我國發(fā)展FPGA主要面臨技術(shù)、市場和生態(tài)等多方面挑戰(zhàn)。

軟件是亟待突破的一大瓶頸。在開發(fā)新產(chǎn)品的同時,還需開發(fā)軟件工具、不斷維護(hù)IP和生態(tài)系統(tǒng),對技術(shù)和資金儲備較弱的廠商來說難度較大。

在市場應(yīng)用方面,國產(chǎn)FPGA也面臨商業(yè)模式和生態(tài)上的痛點,關(guān)鍵在于如何迅速切入現(xiàn)存長尾市場中的高量細(xì)分市場。

國產(chǎn)FPGA想要在高端和極高端市場成功突破,自然也需要先進(jìn)工藝制程的支撐。

但國內(nèi)晶圓代工廠臺積電、三星這樣全球領(lǐng)先的晶圓代工廠仍有明顯的差距,這給國產(chǎn)高端FPGA實現(xiàn)完全自主可控帶來了挑戰(zhàn)。

除了制造方面的挑戰(zhàn),與高端、極高端FPGA芯片相適應(yīng)的FPGA EDA工具,包括傳統(tǒng)的前端RTL-VHDL/Verilog綜合工具,以及后端布局布線工具的自主研發(fā)與自主產(chǎn)權(quán)同樣是國產(chǎn)高端FPGA實現(xiàn)突圍的挑戰(zhàn)。

FPGA成功[上位]需要[摸高]

盡管經(jīng)歷了數(shù)年來的高速發(fā)展,但如今的AI技術(shù)依舊在持續(xù)推陳出新,從而導(dǎo)致這一賽道不斷會出現(xiàn)新的演算方法。

從2012到2021年的近十年間,AI分類模型創(chuàng)新的數(shù)量正逐年遞增。

模型的不斷推陳出新,也對硬件的性能提出了更高要求,這就需要芯片企業(yè)分別針對硬件和算法去做優(yōu)化。

更何況,如今的算法優(yōu)化不僅僅只停留在軟件層面,還需要歷經(jīng)實際應(yīng)用落地的驗證和考核。

尤其是落實到大量邊緣端設(shè)備的部署上,各種未知性因素隨時都會對芯片的軟硬協(xié)同構(gòu)成巨大的挑戰(zhàn)。

MRFR預(yù)計,在5G和AI的推動下,2025年全球FPGA市場規(guī)模有望達(dá)到125億美元,年復(fù)合增長率為10.22%,其中亞太地區(qū)是重要的增量市場。

2021年到2026年中國FPGA市場規(guī)模有望占到全球FPGA市場規(guī)模的一半以上,中國將成為FPGA公司的必爭之地。

導(dǎo)致FPGA行業(yè)內(nèi)卷的原因

在現(xiàn)在的FPGA公司里,需要不斷投資20nm、14nm、7nm等先進(jìn)工藝,國內(nèi)現(xiàn)在已經(jīng)有在投資7nm工藝的公司了。

盡管現(xiàn)在使用高性能的高端工藝,但是芯片的功耗遲遲沒有降下來,芯片尺寸也越來越大。芯片的價格逐漸高漲。因為研發(fā)成本、流片費用、生產(chǎn)原料成本都需要靠量來平攤。

中國的FPGA公司并不是一定要維持競爭,并不一定需要互相內(nèi)卷。

每家公司立足于獨特的存量細(xì)分市場,共同挖掘增量市場,在海量的中國本土市場中不斷發(fā)展,這樣大家都能夠快速進(jìn)步,提升我們國產(chǎn)FPGA在國際舞臺的競爭力。

結(jié)尾:

芯片是一個高技術(shù)壁壘、資本密集的行業(yè)。在當(dāng)前競爭激烈的環(huán)境中,行業(yè)正進(jìn)入所謂空窗期,高額的研發(fā)投入或?qū)⒊蔀楹芏鄧a(chǎn)半導(dǎo)體廠商的常態(tài)。

部分資料參考:

yanbintalk:《FPGA芯片國產(chǎn)化的前景》

半導(dǎo)體產(chǎn)業(yè)縱橫:《國產(chǎn)FPGA,從負(fù)增長到正增長》

與非網(wǎng)eefocus:《小芯片撬動大市場,國產(chǎn)FPGA乘風(fēng)破浪正當(dāng)時》

雷鋒網(wǎng):《不怕EDA被卡脖子,國產(chǎn)高端FPGA突破“技術(shù)鐵幕”》

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

AI芯天下是智能芯片行業(yè)垂直自媒體。采用媒體+行業(yè)的模式,堅持從芯出發(fā),用心服務(wù)的理念,致力于豐富的網(wǎng)絡(luò)基礎(chǔ)資源建設(shè)。官網(wǎng):http://www.world2078.com/ 公眾號:World_2078