加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • ?01中國科學(xué)院微電子所所長戴博偉:中國走出“黑暗森林”的五個要點
    • ?02盛美半導(dǎo)體董事長王暉:“低價內(nèi)卷”是中國半導(dǎo)體設(shè)備公司面臨的巨大挑戰(zhàn)
    • ?03高通中國區(qū)董事長孟樸:5G+AI為IC產(chǎn)業(yè)帶來巨大商機(jī)
    • ?04中國電科第五十八所所長蔡樹軍:商用芯片修長板、國安芯片補(bǔ)短板
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

半導(dǎo)體專家聚首無錫,談了哪些?

09/26 09:10
304
閱讀需 21 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

作者:ICVIEWS編輯部

今日,在第12屆中國電子專用設(shè)備工業(yè)協(xié)會半導(dǎo)體設(shè)備年會、第12屆半導(dǎo)體設(shè)備與核心部件展示會上,中國科學(xué)院微電子所所長戴博偉、中國電子專用設(shè)備工業(yè)協(xié)會半導(dǎo)體設(shè)備分會理事長、盛美半導(dǎo)體設(shè)備(上海)股份有限公司董事長王暉博士、高通公司中國區(qū)董事長孟樸、中國電科第五十八所所長蔡樹軍發(fā)表演講,圍繞先進(jìn)封裝的發(fā)展機(jī)遇、差異化創(chuàng)新提高本土設(shè)備商的核心競爭力、5G+AI為IC產(chǎn)業(yè)帶來創(chuàng)新發(fā)展機(jī)遇以及芯片未來發(fā)表深刻見解。

?01中國科學(xué)院微電子所所長戴博偉:中國走出“黑暗森林”的五個要點

如今,在“中美戰(zhàn)略博弈”背景下科技創(chuàng)新組織模式發(fā)生了變化,從全球化紅利的“和平友好時期”過渡到了具有逆全球化特征的“封鎖打壓時期”,希望走向具有再全球化目標(biāo)“由中國主導(dǎo)”“開放融合”的戰(zhàn)略平衡時期。而在“缺錢、缺人、缺技術(shù)”條件下,如何“打贏關(guān)鍵核心技術(shù)攻堅戰(zhàn)”?

戴博偉認(rèn)為,我們要做的是增強(qiáng)危機(jī)意識,樹立底線思維;堅持問題導(dǎo)向和系統(tǒng)觀念;發(fā)揮新型舉國體制優(yōu)勢,實現(xiàn)有限資源的高效整合;避免因無效投資而重蹈前蘇聯(lián)“星球大戰(zhàn)”競爭失敗被拖垮經(jīng)濟(jì)的覆轍。在原本相對友好的情況下,我們在全球產(chǎn)業(yè)鏈之間協(xié)作,尋找分工、定位和比較優(yōu)勢。然而現(xiàn)在我們被孤立起來,這并非我們主動的要求的,是被孤立。

在這樣的“黑暗森林”和“無人區(qū)“中,中國如何才能加快創(chuàng)新發(fā)展?

1堅持系統(tǒng)思維:“卡脖子”的本質(zhì)是“體系化”的競爭

不管是制造、設(shè)計、裝備還是光刻機(jī),它的本質(zhì)并不僅僅是一個單點的領(lǐng)域和技術(shù),它的本質(zhì)是一個工業(yè)化體系,國家整體的科技發(fā)展和競爭能力的提高,是一個體系化的競爭,所以必須要統(tǒng)籌考慮。

2、強(qiáng)化基礎(chǔ)性根技術(shù)創(chuàng)新事實上集成電路技術(shù)方方面面,新技術(shù)層出不窮,應(yīng)用也很廣泛,但是分析下來最核心的促進(jìn)尺寸微縮的依然還是工藝為代表的,例如光刻技術(shù)的驅(qū)動。從根技術(shù)基礎(chǔ)性的角度來突破,就會帶來整體的動態(tài)。所以我們不能僅僅局限在應(yīng)用和產(chǎn)業(yè)規(guī)模的角度,還是要呼吁基礎(chǔ)性根技術(shù)改善加強(qiáng),夯實基礎(chǔ)。

3、抓住三維集成電路發(fā)展趨勢與機(jī)遇我們要緊緊地抓住芯片級三維集成,以及封裝級的三維集成所帶來的優(yōu)勢,提升芯片的綜合性和等效性。

4、在攻克“卡點”的同時,要更加關(guān)注“系統(tǒng)”與“集成”2016年,國際半導(dǎo)體技術(shù)路線圖(ITRS,重點關(guān)注延續(xù)“摩爾定律”的器件和工藝)已被國際器件與系統(tǒng)路線圖(IRDS,關(guān)注邏輯和存儲器件和工藝、封裝集成及系統(tǒng))所取代,表明系統(tǒng)層面的邏輯和存儲器件工藝與封裝的集成式創(chuàng)新正成為集成電路主流發(fā)展趨勢,AMD的ZEN系列高性能CPU芯片和NVIDIA的A100和H100高算力GPU芯片是典型代表。臺積電是目前全球壟斷的高端IC制造“集大成者”,實現(xiàn)了“前道”和“后道”的貫通與集成制造,而這是當(dāng)前國內(nèi)尤為欠缺的。

5、以“控制點”為抓手凝練組織重大科技創(chuàng)新任務(wù)“控制點”是具有顛覆性意義和價值,目標(biāo)集中且控制面廣,足以吸引社會力量為之投入的技術(shù),圍繞其開發(fā)相關(guān)技術(shù)和產(chǎn)品,形成生態(tài)。卡點不一定是控制點但控制點是制高點,一定是卡點。控制點基礎(chǔ)性更強(qiáng),是真正需要政府支持的。第一步(近期)遴選并集中力量攻關(guān)控制點,布局外圍技術(shù),謀劃生態(tài);第二步(中期)突破控制點,攻克外圍技術(shù),面向應(yīng)用打造技術(shù)生態(tài);第三步(遠(yuǎn)期)做強(qiáng)做大控制點,形成中國主導(dǎo)的技術(shù)體系,建立與美西方的戰(zhàn)略平衡,實現(xiàn)自立自強(qiáng)。

?02盛美半導(dǎo)體董事長王暉:“低價內(nèi)卷”是中國半導(dǎo)體設(shè)備公司面臨的巨大挑戰(zhàn)

縱觀五十年來半導(dǎo)體設(shè)備發(fā)展的遷移歷程,經(jīng)歷了由美國向日本、向韓國和中國臺灣地區(qū)及中國大陸的幾輪產(chǎn)業(yè)轉(zhuǎn)移。自90年代后期,半導(dǎo)體設(shè)備中心遷移至中國臺灣地區(qū),而到2017年后,中國大陸正在升起中國半導(dǎo)體設(shè)備明星企業(yè)。半導(dǎo)體設(shè)備公司的興起與成長緊緊跟隨全球芯片制造中心的遷移。

未來10年,中國將成為全球半導(dǎo)體芯片制造的中心。王暉表示,隨著半導(dǎo)體制造技術(shù)日趨成熟,在這波興起的中國芯片制造潮流中,只有尊重知識產(chǎn)權(quán),擁有差異化創(chuàng)新能力的公司才有可能成為,全球半導(dǎo)體設(shè)備市場上升起的中國明星。全球半導(dǎo)體設(shè)備市場規(guī)模自2022年開始跨入千億美元市場,之后持續(xù)增長。近年來,中國大陸地區(qū)的國產(chǎn)設(shè)備銷售規(guī)模非??欤瑖a(chǎn)設(shè)備的復(fù)合增長率接近30.17%。去年國內(nèi)設(shè)備銷售規(guī)模近100億美金,而國內(nèi)的進(jìn)口額約為300億美金左右,基本上國產(chǎn)化率在30%以上。王暉表示:“還是不錯的,一個可喜的大進(jìn)步?!?/p>

根據(jù)中國國際招標(biāo)網(wǎng)數(shù)據(jù)統(tǒng)計,2023年半導(dǎo)體國產(chǎn)設(shè)備中標(biāo)國產(chǎn)率達(dá)到46.4%。當(dāng)前下游晶圓制造廠商也傾向于更多地采用國產(chǎn)半導(dǎo)體設(shè)備,半導(dǎo)體設(shè)備國產(chǎn)化正在加速。盛美半導(dǎo)體的目標(biāo)是躋身世界集成電路設(shè)備企業(yè)十強(qiáng)行列。王暉在會上重點探討了關(guān)于尊重知識產(chǎn)權(quán),成就中國創(chuàng)造的想法。他表示,低價內(nèi)卷是中國半導(dǎo)體健康發(fā)展面臨的最大挑戰(zhàn)之一。

一家設(shè)備公司研發(fā)投入在15%左右,毛利率在40%~50%是比較合適的。低價內(nèi)卷來的低毛利無法支持設(shè)備企業(yè)持續(xù)研發(fā)投入,不可能迭代升級現(xiàn)有技術(shù)及研發(fā)新技術(shù),最終損害了芯片制造商的利益。

一方面,價格內(nèi)卷的弊病是沒有足夠毛利支持自我研發(fā),只能把自己降維到“設(shè)備翻新公司”,但是設(shè)備翻新公司只是買一臺舊設(shè)備,翻新該臺設(shè)備,如果買了一臺舊設(shè)備,翻新N臺設(shè)備,就是違反中國知識產(chǎn)權(quán)法。這種非法“翻新”和“抄襲”絕不是創(chuàng)新,絕不能成就一家偉大的半導(dǎo)體設(shè)備公司。

另一方面,侵權(quán)設(shè)備生產(chǎn)的芯片銷往海外有被起訴的法律風(fēng)險。如果“內(nèi)卷”是“卷”新的差異化技術(shù),滿足下一代技術(shù)產(chǎn)品的技術(shù)挑戰(zhàn),潛心研發(fā)屬于自己的核心專利技術(shù),這是良性“內(nèi)卷”,是值得鼓勵的,享受在專利法保護(hù)下的20年的高毛利時間,在中國市場驗證及推廣后,可以坦蕩走向全球市場。因此,只有尊重彼此的知識產(chǎn)權(quán),才能有效防止低價內(nèi)卷,鼓勵創(chuàng)新,成就中國創(chuàng)造,讓中國的創(chuàng)新半導(dǎo)體設(shè)備回饋全球半導(dǎo)體市場,為全球AI時代帶來的技術(shù)挑戰(zhàn)做出應(yīng)有的貢獻(xiàn)。王暉堅定的說到:“盛美上海的產(chǎn)品是差異化創(chuàng)新產(chǎn)品,有足夠的IP保護(hù),如果有“翻新”盛美的產(chǎn)品,我們一定拿起法律的武器,將絕不姑息。”

中國半導(dǎo)體設(shè)備在不斷發(fā)展的過程中,集成創(chuàng)新和原始創(chuàng)新是跨不過的坎。目前,盛美半導(dǎo)體在技術(shù)創(chuàng)新方面,擁有:空間交變相移(SAPS)兆聲波清洗技術(shù)、TEBO (世界首創(chuàng))+新技術(shù):超臨界CO2干燥技術(shù)、世界首創(chuàng) Ultra C Tahoe(單片+槽式,可覆蓋25%清洗工藝)。推出了3款清洗系列新產(chǎn)品,高溫硫酸SPM清洗設(shè)備及SPM防反濺技術(shù)、Ultra C be 邊緣濕法刻蝕設(shè)備、Ultra C VI 18腔工藝設(shè)備。電鍍核心技術(shù)包括Ultra Ecp map多環(huán)陽極電鍍,能夠?qū)崿F(xiàn)銅雙大馬士革結(jié)構(gòu)銅互聯(lián)層電鍍。在電鍍產(chǎn)品方面,盛美半導(dǎo)體基本占據(jù)國內(nèi)35%的市場份額。此外,基于現(xiàn)有核心技術(shù)的AI制造用新產(chǎn)品延伸,盛美半導(dǎo)體還推出了面板級先進(jìn)封裝產(chǎn)品線。

?03高通中國區(qū)董事長孟樸:5G+AI為IC產(chǎn)業(yè)帶來巨大商機(jī)

當(dāng)前全球集成電路正處于高速發(fā)展和深度變革的交匯點,5G 全面商用、人工智能迅速崛起、物聯(lián)網(wǎng)廣泛應(yīng)用等技術(shù)趨勢正在重塑整個產(chǎn)業(yè)鏈,為集成電路行業(yè)帶來新的市場增量和創(chuàng)新動力。

最新數(shù)據(jù)顯示,2024 年第二季度,全球半導(dǎo)體銷售額同比增長 18.3%,環(huán)比增長 6.5%,總額達(dá)到近 1500 億美元。據(jù)預(yù)估,到 2030 年全球半導(dǎo)體銷售額有望突破萬億美元。孟樸表示,過去 5 年,5G 在全球取得矚目進(jìn)展。

在中國,移動通信產(chǎn)業(yè)從 3G 追趕、4G 并行到 5G 趕超,歷經(jīng) 20 年。如今 5G 行至中場,5G-A 正在加速落地,國內(nèi)主要一線城市、二線城市移動運(yùn)營商已開始商用 5G-A。

高通正在推動 5G-A 技術(shù)落地。高通作為移動通信產(chǎn)業(yè)的技術(shù)賦能者,積極攜手行業(yè)伙伴,推動 5G-A 技術(shù)及應(yīng)用場景落地。今年 4 月,高通攜手上海聯(lián)通完成 5G-A 高低頻協(xié)同連片組網(wǎng),首次實現(xiàn)網(wǎng)絡(luò)連續(xù)覆蓋體驗突破每秒 5Gbps 的里程碑。此外,還完成了 5G-A 毫米波下行萬兆、三載波聚合、通感一體等技術(shù)演示及驗證。5G-A 不僅致力于提升現(xiàn)有網(wǎng)絡(luò)的性能和可靠性,更為下一代移動通信 6G 奠定堅實技術(shù)基礎(chǔ)。目前行業(yè)正積極開展 6G 相關(guān)研究工作。

在 5G 技術(shù)快速發(fā)展的同時,人工智能是引領(lǐng)當(dāng)前產(chǎn)業(yè)變革的另一項戰(zhàn)略性技術(shù)。孟樸表示,終端側(cè) AI 的發(fā)展已成為不可逆轉(zhuǎn)的趨勢。在生成式 AI 走向成熟和廣泛應(yīng)用的過程中,可以看到云端計算模型的一些局限性,特別是在云端運(yùn)行 AI 時,高昂成本和隱私問題備受關(guān)注。比如,生成式 AI 僅靠云端服務(wù)無法滿足要求,在云端訓(xùn)練和運(yùn)行生成式 AI 大模型需要高性能 GPU,成本高昂且消耗大量電力。相比之下,在手機(jī)等終端設(shè)備上使用生成式 AI 能顯著節(jié)省能耗。

其次,很多用戶不希望個人數(shù)據(jù)上傳到云端,選擇在終端設(shè)備上處理數(shù)據(jù)能有效解決隱私顧慮。5G 為 AI 在云端、邊緣云和終端側(cè)協(xié)同奠定堅實基礎(chǔ),為 AI 的實時處理和數(shù)據(jù)傳輸提供必要支持。AI 和 5G 深度融合及廣泛應(yīng)用將引發(fā)消費電子變革,加速終端設(shè)備性能升級和換機(jī)周期,對半導(dǎo)體產(chǎn)業(yè)界來說是巨大商機(jī)。

高通在智能終端領(lǐng)域的成果與展望首先看產(chǎn)品創(chuàng)新與出貨量。高通一直致力于將高性能低功耗的 AI 計算能力帶入更多類型的終端產(chǎn)品,打造專門為 AI 定制設(shè)計的全新計算架構(gòu)。目前高通 AI 引擎功能的終端產(chǎn)品出貨量已超過 20 億。在去年 10 月的驍龍技術(shù)峰會上,高通發(fā)布了第三代驍龍 8 和驍龍 X 兩款產(chǎn)品,分別實現(xiàn)了 100 億參數(shù)和 130 億參數(shù)的大語言模型在端側(cè)的運(yùn)行,為眾多 AI 手機(jī)和 AI PC 提供支持,目前已有超過 115 款采用第三代驍龍 8 的旗艦智能手機(jī)發(fā)布。

再看智能終端拓展與汽車領(lǐng)域成就。高通打造驍龍數(shù)字底盤,涵蓋汽車連接、座艙、智能駕駛、車隊、云等 4 大領(lǐng)域,幫助汽車廠商打造全新服務(wù)和應(yīng)用。如今驍龍數(shù)字底盤全球有超過 3.5 億輛汽車采用這一解決方案。

自 2021 年起,驍龍數(shù)字底盤已經(jīng)支持了 60 多個中國的汽車品牌,推出了 160 多款汽車的車型。集成電路產(chǎn)業(yè)的發(fā)展不僅依賴于技術(shù)進(jìn)步,還需要一個健康的生態(tài)系統(tǒng)。5G 和 AI 的融合推動了產(chǎn)業(yè)鏈的全面發(fā)展,促進(jìn)了制造、設(shè)計與應(yīng)用之間的協(xié)作。在無錫,高通全訊射頻工廠是高通在中國重要的射頻相關(guān)產(chǎn)品生產(chǎn)基地,在高通全球布局中發(fā)揮重要作用。為更好地支持中國客戶及 5G 事業(yè)在全球的發(fā)展,無錫工廠擴(kuò)大生產(chǎn)規(guī)模,二期工廠已于 2023 年 4 月正式啟用,產(chǎn)品出口到亞洲、北美和歐洲等地區(qū)。

孟樸表示,在 5G 與 AI 的共同驅(qū)動下,集成電路產(chǎn)業(yè)將迎來新的發(fā)展機(jī)遇,形成更加強(qiáng)大和多樣化的技術(shù)生態(tài)。作為這一變革的參與者和推動者,高通將繼續(xù)與包括無錫在內(nèi)的各位合作伙伴一起,讓 5G+AI 的力量觸及全球每一個角落,真正實現(xiàn)讓智能計算無處不在。

?04中國電科第五十八所所長蔡樹軍:商用芯片修長板、國安芯片補(bǔ)短板

蔡樹軍以兩句話描述半導(dǎo)體行業(yè):第一句話指出芯片應(yīng)用具有“三性”,即廣泛性、滲透性和不可替代性,在信息社會人人都離不開半導(dǎo)體,故而其發(fā)展極端重要。第二句話表明半導(dǎo)體發(fā)展具有“三最”,即持續(xù)高速發(fā)展時間最長、投入最大、最為先進(jìn)和復(fù)雜,這使得制造難度極大。正因芯片的“三性”極端重要和“三最”難度極大,它成為大國爭奪和博弈的焦點,也是崛起的中國面臨的挑戰(zhàn)之一。

從摩爾定律提出至今,芯片性能實現(xiàn)巨大飛躍,但制造難度也急劇增加。每個芯片上晶體管數(shù)量接近千億級別,制造過程需在極小空間內(nèi)實現(xiàn)高精度制造和連接。制造晶體管從沙子開始,要經(jīng)過幾千道工序、用上千種材料以及各種尖端設(shè)備和儀器,不僅需要先進(jìn)工具和技術(shù),還要求極高的穩(wěn)定性和一致性,所以進(jìn)入該領(lǐng)域門檻越來越高。比如,一個5納米芯片工廠需投入200億美元,還不包括持續(xù)的研發(fā)和維護(hù)成本。

目前全球沒有一個國家能涵蓋整個半導(dǎo)體產(chǎn)業(yè)鏈制造流程。以光刻機(jī)為例,20世紀(jì)90年代,國際光刻專家因芯片發(fā)展到一定程度后光的波長成問題需刻得更細(xì),決定采用EUV技術(shù)。1992年開始第一筆投資,到2015年做出第一個樣機(jī),歷時23年,樣機(jī)十幾噸重,價值三個多億美元一臺。有了此設(shè)備并非能很快做出芯片,英特爾是最早投資者之一,但對EUV使用效果不佳,臺積電則用得最好。

EUV光源與傳統(tǒng)DUV完全不同,每一步都需創(chuàng)新,光刻機(jī)光源每臺有457000多個零件,精度極高,號稱地球上的激光器能打到月球上的高爾夫球,對機(jī)械精密程度控制要求非常高。此外,芯片種類繁多,主要分為數(shù)字芯片和模擬芯片。

數(shù)字芯片(邏輯與存儲)須緊跟摩爾定律以保持領(lǐng)先地位,市場占比超70%,是芯片戰(zhàn)爭焦點;泛模擬芯片包括各類分立器件、探測器、電源控制、射頻集成電路等,不遵從摩爾定律,主要在90nm以上節(jié)點生產(chǎn),占比30%?;趯Π雽?dǎo)體行業(yè)的深入洞察與分析,蔡樹軍給出了以下五點極具前瞻性與指導(dǎo)性的基本判斷:

第一點,傳統(tǒng)的Moore定律已經(jīng)接近尾聲。以單位面積內(nèi)集成更多晶體管為標(biāo)桿的傳統(tǒng)Moore定律將終結(jié),以單位體積內(nèi)實現(xiàn)更多的晶體管(功能)將成為新的標(biāo)桿,能替代硅的后Moore時代半導(dǎo)體晶體管技術(shù)也尚不明朗。蔡樹軍表示,伴隨光刻技術(shù)的進(jìn)步,晶體管由平面型——FINFET——納米片(GAA)發(fā)展,晶體管繼續(xù)縮小,CMOS單元也將由平面轉(zhuǎn)向3D。

第二點,大量硅集成電路工藝將以成熟的狀態(tài)延續(xù)。摩爾定律終結(jié)不意味著硅集成電路終結(jié),硅集成電路將以成熟狀態(tài)繼續(xù)存活至少50年,且隨著工藝成熟,8英寸、12英寸多節(jié)點共存,性價比最高的工藝節(jié)點也不一定是最先進(jìn)的2nm工藝節(jié)點,畢竟“合適的就是最好的”。

第三點,AI賦能新的設(shè)計工具。新的設(shè)計手段(AI)將出現(xiàn),設(shè)計流程再造,注重多維度協(xié)同優(yōu)化,會有更多更好用的設(shè)計工具,電路設(shè)計平民化,未來用好成熟工藝線將成為主流。

第四點,新的封裝技術(shù)是未來熱點。3D封裝技術(shù)可在單位體積里實現(xiàn)更多功能。在2.5D、3D發(fā)展中,標(biāo)準(zhǔn)很重要,未來芯粒成功在于合適標(biāo)準(zhǔn),美國和中國都成立了相關(guān)聯(lián)盟。未來3D封裝技術(shù)平臺發(fā)展中,轉(zhuǎn)接板除實現(xiàn)再布線外,將集成更多功能;異構(gòu)集成、光電集成也是未來先進(jìn)封裝技術(shù)發(fā)展方向之一,晶圓級集成也是未來新賽道。

第五點,半導(dǎo)體產(chǎn)業(yè)前途光明。大市場中包含大需求。信息化時代剛剛開啟,對半導(dǎo)體電子產(chǎn)品的需求越來越大。黃仁勛預(yù)測未來10年內(nèi)算力再提高100萬倍,五年內(nèi)人工智能達(dá)到人類智能水平。胡正明預(yù)測IC產(chǎn)業(yè)可再成長100年。

從國內(nèi)半導(dǎo)體企業(yè)的全球排名看中國半導(dǎo)體的現(xiàn)狀。2023年世界前十大公司,美國5家,歐洲2家,韓國2家,中國臺灣1家,中芯國際排名第24。設(shè)計領(lǐng)域方面,2023年全球十大IC設(shè)計公司的營收排名中美國6家,中國臺灣有2家,加拿大1家,上海韋爾排名第九。設(shè)備方面,全球半導(dǎo)體設(shè)備廠商前十中,北方華創(chuàng)排名第七。封測領(lǐng)域方面,全球前十封測企業(yè)中,美國1家,中國臺灣5家,大陸4家。

目前來看,我國半導(dǎo)體自主保障率偏低。與此同時,我國也在面臨美國新的“抵消”戰(zhàn)略。半導(dǎo)體集成電路作為高科技領(lǐng)域的代表,面臨全面打壓。蔡樹軍表示,“惟創(chuàng)新者強(qiáng),惟創(chuàng)新者勝”。要實現(xiàn)科技自立自強(qiáng)蔡樹軍提出了兩個建議。第一個建議,商用芯片修長板。第二個建議,國安芯片補(bǔ)短板。

最后,蔡樹軍總結(jié)到,要客觀認(rèn)識中西差距,盲目自大和妄自菲薄都是不可取的。戰(zhàn)略上要有定力,針對半導(dǎo)體產(chǎn)業(yè)鏈,商業(yè)領(lǐng)域我們要“修長板”,國安領(lǐng)域要“補(bǔ)短板”,久久為功。未來50年硅集成電路仍將是主流技術(shù)。半導(dǎo)體產(chǎn)業(yè)前景樂觀,順勢而為,大有可為。

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

公眾號:半導(dǎo)體產(chǎn)業(yè)縱橫。立足產(chǎn)業(yè)視角,提供及時、專業(yè)、深度的前沿洞見、技術(shù)速遞、趨勢解析,鏈接產(chǎn)業(yè)資源,構(gòu)建IC生態(tài)圈,賦能中國半導(dǎo)體產(chǎn)業(yè),我們一直在路上。