加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 蘋(píng)果 M5 首發(fā),還有 SoIC 全新封裝工藝
    • 三星緊追不放
    • 英特爾能否后來(lái)居上?
    • 寫(xiě)在最后
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

2nm芯片戰(zhàn)一觸即發(fā):臺(tái)積電先發(fā)制人,蘋(píng)果率先吃雞

07/19 09:20
867
閱讀需 13 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

來(lái)源:雷科技AI硬件組?|?編輯:冬日果醬?|?排版:GUAN

差不多一個(gè)月前,蘋(píng)果 COO 杰夫·威廉姆斯 (Jeff Williams) 低調(diào)到訪臺(tái)積電,根據(jù)媒體報(bào)道,此行就為一件事:確保給到蘋(píng)果的 2nm 產(chǎn)能。沒(méi)辦法,臺(tái)積電的產(chǎn)能實(shí)在太搶手了。不管手機(jī)芯片廠商、PC 芯片廠商,還是 AI 芯片廠商都在爭(zhēng)奪臺(tái)積電「相對(duì)有限」的產(chǎn)能,以至于面對(duì)臺(tái)積電的漲價(jià)也欣然接受,英偉達(dá)創(chuàng)始人兼 CEO 黃仁勛甚至公開(kāi)力挺臺(tái)積電漲價(jià)。

而后,臺(tái)積電的市值一度沖上 1 萬(wàn)億美元。不過(guò)還好,還沒(méi)有廠商能與蘋(píng)果爭(zhēng)奪臺(tái)積電 2nm 的首發(fā)產(chǎn)能。據(jù)供應(yīng)鏈目前透露,作為臺(tái)積電最大客戶,蘋(píng)果已經(jīng)規(guī)劃在明年的 M5 芯片上首發(fā)采用臺(tái)積電 2nm 工藝。就在本周,臺(tái)積電將提前啟動(dòng) 2nm 的試產(chǎn)。據(jù)工商時(shí)報(bào)援引業(yè)內(nèi)人士報(bào)道,臺(tái)積電 2nm 制程將于本周試產(chǎn),蘋(píng)果 M5 芯片不僅拿下 2025 年首批產(chǎn)能外,也將率先導(dǎo)入下一代 3D 先進(jìn)封裝工藝 SoIC(系統(tǒng)整合芯片)。因?yàn)橄鄬?duì) AI 芯片采用的 CoWos 封裝工藝更簡(jiǎn)單,所以預(yù)期 SoIC 封裝工藝的產(chǎn)能將在明年擴(kuò)大至少一倍,也就是每月 8 千片以上。當(dāng)然,2nm 不是臺(tái)積電的獨(dú)角戲,三星以及英特爾也都希望在這個(gè)節(jié)點(diǎn)縮短與臺(tái)積電之間的差距,甚至實(shí)現(xiàn)超越。

7 月 9 日,三星就在官網(wǎng)確認(rèn),日本人工智能公司 Preferred Networks(PFN)提前預(yù)訂了三星 2nm 的產(chǎn)能,用來(lái)生產(chǎn)旗下第二代 AI 芯片。而英特爾這邊,不僅準(zhǔn)備了 20A(2nm)制程工藝,同期還有 18A(相當(dāng)于 1.8nm)工藝。但無(wú)論是臺(tái)積電、三星還是英特爾,他們的最新計(jì)劃都是計(jì)劃在 2025 年投入量產(chǎn),屆時(shí)將是一場(chǎng)史無(wú)前例的 2nm 大戰(zhàn)。

蘋(píng)果 M5 首發(fā),還有 SoIC 全新封裝工藝

在今年 5 月 23 日的一場(chǎng)論壇上,臺(tái)積電工藝開(kāi)發(fā)副總經(jīng)理張曉剛透露,目前 2nm 工藝開(kāi)發(fā)進(jìn)展順利,「按計(jì)劃 2025 年左右可實(shí)現(xiàn)量產(chǎn)。」

在 2nm 工藝節(jié)點(diǎn)上,臺(tái)積電的準(zhǔn)備可謂全面。首先是在晶體管架構(gòu)上,臺(tái)積電終于要在 2nm 工藝上采用全新的 GAA(Gate-All-Around)晶體管架構(gòu)。不同于傳統(tǒng)的 FinFET 架構(gòu),這種技術(shù)能夠在性能和功耗上實(shí)現(xiàn)顯著提升。關(guān)于 GAA 技術(shù),雷科技在《3nm 的芯片戰(zhàn)爭(zhēng),才剛剛開(kāi)始》中有過(guò)比較詳細(xì)的介紹,其中就談到:

計(jì)算性能最底層其實(shí)就是晶體管的「一開(kāi)一關(guān)」,代表了二進(jìn)制中的「0」和「1」,更底層是對(duì)晶體管內(nèi)通道(又稱溝道)的控制能力。FinFET 第一次將通道從橫向轉(zhuǎn)為豎向,而三星采用了寬通道(納米片)的 GAAFET 技術(shù),在單位面積內(nèi)支持更多通道的控制……

總而言之,GAA 晶體管是大勢(shì)所趨,不過(guò)不同于三星在 3nm 節(jié)點(diǎn)上就導(dǎo)入這種晶體管架構(gòu),臺(tái)積電早早就定下在 2nm 節(jié)點(diǎn)導(dǎo)入的計(jì)劃。此外,臺(tái)積電的 2nm 工藝還可能引入了背面供電技術(shù),這種技術(shù)通過(guò)在芯片背面布置電源軌,減少了電源傳輸路徑中的阻抗,提高了電源效率和信號(hào)完整性。簡(jiǎn)言之,就是提高通過(guò)電源利用率,來(lái)提高芯片的能效。但盡管臺(tái)積電此前表示,將在 2026 年將背面供電技術(shù)率先導(dǎo)入 N2P 工藝(臺(tái)積電 2nm 的一個(gè)版本),最近卻有報(bào)道指出,N2P 仍將采用常規(guī)供電電路。不過(guò)可以肯定的是,臺(tái)積電 2nm 工藝的全系版本都將增加全新的 NanoFlex。

在今年 4 月的北美峰會(huì)上,臺(tái)積電專門(mén)提到了 NanoFlex 技術(shù),并表示 NanoFlex 將使芯片設(shè)計(jì)人員能夠在同一塊設(shè)計(jì)中混合和匹配來(lái)自不同庫(kù)(高性能、低功耗、面積高效)的單元,從而使設(shè)計(jì)人員能夠微調(diào)其芯片設(shè)計(jì),以優(yōu)化性能、功率和面積(PPA)。另外根據(jù)臺(tái)積電官方介紹,臺(tái)積電 2nm 將于 2025 年下半年開(kāi)始量產(chǎn)。而與 N3E 節(jié)點(diǎn)(臺(tái)積電第二代 3nm 工藝)相比,N2 工藝在相同功耗下的性能提升了 10%到 15%,在相同性能下功耗降低了 25%到 30%。這些改進(jìn)使得 2nm 工藝能夠在高性能計(jì)算和移動(dòng)設(shè)備中發(fā)揮關(guān)鍵作用,不管是智能手機(jī)、PC,還是紅得發(fā)紫的 AI 芯片都將受益于這種技術(shù)提升,實(shí)現(xiàn)更強(qiáng)的算力和更低的功耗。

但還不只如此,臺(tái)積電的 2nm 制程工藝還配套全新一代的封裝工藝。除了在晶體管架構(gòu)和電源管理上的創(chuàng)新,臺(tái)積電在 2nm 工藝中還引入了全新的 SoIC(System on Integrated Chips)封裝技術(shù)。SoIC 技術(shù)能夠?qū)⒍鄠€(gè)芯片垂直堆疊在一起,通過(guò)硅通孔(TSV)實(shí)現(xiàn)高效的電氣互連,形成緊密的三維結(jié)構(gòu)。與傳統(tǒng)的封裝方式相比,SoIC 技術(shù)不僅能夠大幅提升芯片的集成度和功能密度,還能顯著降低系統(tǒng)的功耗和延遲。在此之前,臺(tái)積電 CoWoS 封裝工藝已經(jīng)「征服」了英偉達(dá)、AMD 等大量芯片設(shè)計(jì)廠商的 AI 芯片,讓他們離不開(kāi)臺(tái)積電。在最新一代的 MI300 AI 芯片上,AMD 還率先采用 SoIC+CoWoS 的封裝方案。

但在 AMD 之后,蘋(píng)果 M5 系列可能是最先大規(guī)模采用 SoIC 封裝工藝的產(chǎn)品。

同時(shí)作為臺(tái)積電最大的客戶,蘋(píng)果也不意外是臺(tái)積電 2nm 工藝的首發(fā)客戶。按照目前流出的信息,M5 處理器將集成更多的核心、更高的頻率和更強(qiáng)大的圖形處理能力,進(jìn)一步提升蘋(píng)果設(shè)備的性能和用戶體驗(yàn)。

三星緊追不放

在 2nm 工藝的競(jìng)爭(zhēng)中,三星依然對(duì)臺(tái)積電緊追不放。在 3nm 工藝節(jié)點(diǎn)上,三星的表現(xiàn)并不盡如人意,第一代 3nm 工藝(SF3E)主要用于加密貨幣礦機(jī)芯片,始終沒(méi)能在更廣泛的市場(chǎng)上——比如手機(jī)、PC、HPC 等領(lǐng)域取得成功。三星在 3nm 工藝上的失敗主要?dú)w因于良率問(wèn)題和性能未達(dá)預(yù)期,這導(dǎo)致許多客戶轉(zhuǎn)向競(jìng)爭(zhēng)對(duì)手。所以為了應(yīng)對(duì)這些挑戰(zhàn),三星聲稱在今年的第二代 3nm 工藝(SF3)中下「足了功夫」,其中之一是:改名為「2nm 工藝」。此前,Digitimes、ZDNet Korea 援引不同消息源指出,三星已經(jīng)向客戶和合作伙伴通知「第二代 3nm 工藝」更名為「2nm 工藝」,有業(yè)內(nèi)人士還表示收到了三星關(guān)于更名的通知,還需要重新簽訂合同。這不是三星第一次更名制造工藝了。2020 年,在從 7nm 過(guò)渡到 5nm 工藝時(shí),三星就曾將「第二代 7nm 工藝」更名為「5nm 工藝」。不過(guò)真的假不了,假的真不了,三星真正的 2nm 工藝(SF2)計(jì)劃于 2025 年投入量產(chǎn)。

在 6 月舉辦的 VLSI 技術(shù)研討會(huì)(全稱「超大規(guī)模集成電路國(guó)際研討會(huì)」)上,三星就介紹了其采用 GAA 晶體管技術(shù)的第三代工藝——SF2,也是三星真正的 2nm 工藝。按照三星的介紹,SF2 將通過(guò)引入獨(dú)特的外延和集成工藝,「從而最大程度地提高柵極環(huán)繞優(yōu)勢(shì),克服了產(chǎn)品增益與縮放和 GAA 結(jié)構(gòu)沖突的問(wèn)題?!?/strong>具體到數(shù)據(jù)上,這將使其能夠?qū)⒕w管性能提高 11-46%,與未指定的基于 FinFET 的工藝技術(shù)相比,可變性降低 26%,同時(shí)將泄漏降低約 50%。值得一提的是,SF2 可能也是三星第一個(gè)引入背面供電技術(shù)的節(jié)點(diǎn)。據(jù)悉,三星已在兩款 ARM 芯片上測(cè)試了 BS-PDN 技術(shù),結(jié)果芯片尺寸分別縮小了 10%和 19%,性能和效率最高提升了 9%。

此外,三星在第一代 3nm 工藝中就率先引入了 GAA 技術(shù),這種技術(shù)上的重大切換,在一定程度上影響了三星在 3nm 的良率表現(xiàn)。不過(guò)反過(guò)來(lái),這也讓三星更早了解和解決導(dǎo)入 GAA 技術(shù)帶來(lái)的挑戰(zhàn)。這種優(yōu)勢(shì),或許將使得三星能夠在高性能計(jì)算、移動(dòng)設(shè)備和其他需要高效能的應(yīng)用場(chǎng)景中搶占先機(jī)。為了做到這一點(diǎn),三星不僅在技術(shù)研發(fā)上加大投入,還與超過(guò) 50 家知識(shí)產(chǎn)權(quán)(IP)合作伙伴合作,持有 4000 多項(xiàng) IP,試圖構(gòu)建了一個(gè)強(qiáng)大的 2nm 生態(tài)系統(tǒng)。包括今年早些時(shí)候與 Arm 簽署了一項(xiàng)協(xié)議,核心就是共同優(yōu)化 Cortex-X 和 Cortex-A 內(nèi)核,以適應(yīng)三星的全柵極晶體管制造技術(shù)。廣泛地合作,也有助于三星在 2nm 工藝上取得更大的市場(chǎng)份額。

至少在本月,三星將原本臺(tái)積電的客戶——日本人工智能公司 PFN 搶了過(guò)來(lái),促成了三星第一份公開(kāi)的 2nm 芯片代工訂單。同時(shí),高通也是三星可以爭(zhēng)取的大客戶。今年 2 月有消息傳出,高通同時(shí)向三星和臺(tái)積電委托了 2nm 芯片的開(kāi)發(fā)和試產(chǎn),至少說(shuō)明了驍龍 8 Gen 5 還沒(méi)有花落誰(shuí)家。

英特爾能否后來(lái)居上?

當(dāng)然的,作為半導(dǎo)體行業(yè)的老牌勁旅,英特爾在 2nm 節(jié)點(diǎn)上的布局同樣不容小覷。英特爾的 2nm 工藝節(jié)點(diǎn)被稱為 20A,原本預(yù)計(jì)將在 2024 年下半年開(kāi)始量產(chǎn),但目前也推遲到了 2025 年。在 20A 工藝上,英特爾也將首發(fā)導(dǎo)入自己的背面供電技術(shù)(PowerVia)以及 GAA 晶體管架構(gòu)(RibbonFET),以進(jìn)一步優(yōu)化性能和能效。以及通過(guò) Foveros 和 EMIB 等先進(jìn)封裝技術(shù),來(lái)提升芯片的集成度和性能。不過(guò)英特爾的 20A 工藝,更多還是面向內(nèi)部的芯片設(shè)計(jì)部門(mén),也更像是初期版本。真正對(duì)外的「2nm 節(jié)點(diǎn)」,其實(shí)是「四年五代工藝」原計(jì)劃的終點(diǎn)——英特爾 18A,比如微軟就官宣將在未來(lái)基于英特爾 18A 工藝制造自研芯片,包括英特爾自家的 Clearwater Forest 也是基于 18A 工藝。

此外,從 20A、18A 開(kāi)始,英特爾還將采用被臺(tái)積電 CEO 魏哲家驚呼「很好但太貴」的 High NA EUV 光刻機(jī)。至于英特爾能不能實(shí)現(xiàn)「四年五代工藝」的工程奇跡,甚至重回芯片代工世界的巔峰,還是要看屆時(shí)的落地情況。

寫(xiě)在最后

最近幾年,很多人開(kāi)始越來(lái)越重視芯片這個(gè)「幕后英雄」,也連帶重視起了芯片制造這個(gè)關(guān)鍵環(huán)節(jié)。毫無(wú)疑問(wèn),2nm 工藝節(jié)點(diǎn)的到來(lái),標(biāo)志著半導(dǎo)體制造技術(shù)的又一次重大飛躍。臺(tái)積電、三星和英特爾三大代工廠在這一節(jié)點(diǎn)上的競(jìng)爭(zhēng),將對(duì)未來(lái)的高性能計(jì)算和移動(dòng)設(shè)備市場(chǎng)產(chǎn)生深遠(yuǎn)影響。至于對(duì)工藝演進(jìn)逼近物理極限的擔(dān)憂,我還是更愿意相信臺(tái)積電創(chuàng)始人張忠謀的那句:「柳暗花明又一村?!?/p>

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
MCF5282CVM66J 1 Freescale Semiconductor IC,MICROCONTROLLER,32-BIT,COLDFIRE CPU,CMOS,BGA,256PIN,PLASTIC
暫無(wú)數(shù)據(jù) 查看
MKL02Z16VFG4 1 NXP Semiconductors RISC MICROCONTROLLER
$2.9 查看
STM32F405RGT6 1 STMicroelectronics High-performance foundation line, Arm Cortex-M4 core with DSP and FPU, 1 Mbyte of Flash memory, 168 MHz CPU, ART Accelerator

ECAD模型

下載ECAD模型
$16.63 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜