加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專(zhuān)業(yè)用戶(hù)
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 1.概述
    • 2. 硬件原理
    • 3. 軟件實(shí)現(xiàn)
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

FPGA入門(mén)基礎(chǔ)之?dāng)?shù)碼管顯示

06/01 10:25
3525
閱讀需 17 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

引言:本文介紹數(shù)碼管顯示譯碼基本工作原理及Verilog HDL驅(qū)動(dòng)代碼編寫(xiě),進(jìn)一步熟練掌握FPGA入門(mén)基礎(chǔ)知識(shí)。

1.概述

數(shù)碼管是顯示屏其中一類(lèi),通過(guò)對(duì)其不同的管腳輸入相對(duì)的電流,會(huì)使其發(fā)亮,從而顯示出數(shù)字能夠顯示 時(shí)間、日期、溫度等所有可用數(shù)字表示的參數(shù)。

由于它的價(jià)格便宜,使用簡(jiǎn)單,在電器特別是家電領(lǐng)域應(yīng)用極為廣泛,空調(diào)、熱水器、冰箱等等。絕大多數(shù)熱水器用的都是數(shù)碼管,其他家電也用液晶屏與熒光屏。

2. 硬件原理

如圖2所示,數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示)。按能顯示多少個(gè)“8”可分為1 位、2 位、4 位等等數(shù)碼管。

如圖2所示,按發(fā)光二極管單元連接方式分為共陽(yáng)極數(shù)碼管和共陰極數(shù)碼管。

圖2:數(shù)碼管共陰極(左側(cè))和共陽(yáng)極(右側(cè))接法

共陰數(shù)碼管是指將所有發(fā)光二極管的陰極接到一起形成公共陰極(COM)的數(shù)碼管,共陰數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極 COM接到地線 GND 上,當(dāng)某一字段發(fā)光二極管的陽(yáng)極為高電平時(shí),相應(yīng)字段就點(diǎn)亮,當(dāng)某一字段的陽(yáng)極為低電平時(shí),相應(yīng)字段就不亮。共陽(yáng)數(shù)碼管是指將所有發(fā)光二極管的陽(yáng)極接到一起形成公共陽(yáng)極(COM)的數(shù)碼管,共陽(yáng)數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極COM 接到+3.3V(高電平),當(dāng)某一字段發(fā)光二極管的陰極為低電平時(shí),相應(yīng)字段就點(diǎn)亮,當(dāng)某一字段的陰極為高電平時(shí),相應(yīng)字段就不亮。圖3:4位數(shù)碼管共陽(yáng)極接法

如圖3所示,4位數(shù)碼管的每段全部連接在一起,如果想動(dòng)態(tài)控制每位數(shù)碼管,就不能將四位數(shù)碼管的COM端連接在一起,必須分別控制每位數(shù)碼管的COM端,即增加位選擇控制信號(hào)。8位數(shù)碼管共陽(yáng)極連接原理圖如圖4所示。

圖4:8位數(shù)碼管原理圖

如圖4所示,8位數(shù)碼管通過(guò)Sel[7..0]8個(gè)數(shù)據(jù)IO實(shí)現(xiàn)位選擇,通過(guò)Disp[7..0]8個(gè)數(shù)據(jù)IO實(shí)現(xiàn)段選擇。由于FPGA IO口驅(qū)動(dòng)電流能力弱,增加三極管可提高驅(qū)動(dòng)能力。如圖4所示,基極為低電平,三極管導(dǎo)通,數(shù)碼管被選中,被選中的數(shù)碼管相應(yīng)的段發(fā)光顯示。由于4位數(shù)碼管的的數(shù)據(jù)端(ABCDEFG)是公用的,而每一位的數(shù)碼管的公共陽(yáng)極(COM)是單獨(dú),若某一位數(shù)碼管顯示字符,則這一位的公共陽(yáng)極就要連接到低電平。

3. 軟件實(shí)現(xiàn)

數(shù)碼管顯示有靜態(tài)顯示和動(dòng)態(tài)顯示兩種方式。

在靜態(tài)顯示中,只考慮段選信號(hào)。在不同的時(shí)刻,各個(gè)位選信號(hào)保持不變,并根據(jù)真值表,選擇要顯示的數(shù)字或者字母。由于多位數(shù)碼管段信號(hào)是連在一起的,所以這種情況下每位數(shù)碼管顯示的內(nèi)容是想通過(guò)的。要想每位數(shù)碼管顯示不同內(nèi)容,只能采用動(dòng)態(tài)顯示方式。

在動(dòng)態(tài)顯示中,需要將位選信號(hào)考慮進(jìn)來(lái)。在不同的時(shí)刻,各個(gè)位的位選信號(hào)隨時(shí)改變,并根據(jù)真值表,選擇顯示不同的數(shù)字或者字母。

3.1 數(shù)碼管靜態(tài)顯示

根據(jù)圖4硬件原理圖,數(shù)碼管位選擇信號(hào)為低電平“0”時(shí),同時(shí)數(shù)碼管段為低電平“0”時(shí),點(diǎn)亮數(shù)碼管內(nèi)部LED發(fā)光二極管。

數(shù)碼管靜態(tài)顯示代碼如下所示。

/*************************************************數(shù)碼管靜態(tài)顯示按鍵計(jì)數(shù)值。*************************************************///位選信號(hào)     assign segma_sel_o = 8'h00; //使能所有數(shù)碼管位   //段選信號(hào),關(guān)閉DP點(diǎn)顯示  always @(posedge sys_clk_i or negedge rst_n_i) begin         if(!rst_n_i) begin        segma_disp_o  <= 8'b0000001_1;           end  else begin??????case(cnt)?//按鍵計(jì)數(shù)值??        4'd0: segma_disp_o  <= 8'b0000001_1; //"0"          4'd1: segma_disp_o  <= 8'b1001111_1; //"1"          4'd2: segma_disp_o  <= 8'b0010010_1; //"2"        4'd3: segma_disp_o  <= 8'b0000110_1; //"3"        4'd4: segma_disp_o  <= 8'b1001100_1; //"4"        4'd5: segma_disp_o  <= 8'b0100100_1; //"5"        4'd6: segma_disp_o  <= 8'b0100000_1; //"6"        4'd7: segma_disp_o  <= 8'b0001111_1; //"7"        4'd8: segma_disp_o  <= 8'b0000000_1; //"8"        4'd9: segma_disp_o  <= 8'b0000100_1; //"9"        4'd10: segma_disp_o <= 8'b0001000_1; //"A"        4'd11: segma_disp_o <= 8'b1100000_1; //"B"        4'd12: segma_disp_o <= 8'b0110001_1; //"C"        4'd13: segma_disp_o <= 8'b1000010_1; //"D"        4'd14: segma_disp_o <= 8'b0110000_1; //"E"        4'd15: segma_disp_o <= 8'b0111000_1; //"F"              endcase       endend

?測(cè)試結(jié)果如下:

3.2 數(shù)碼管動(dòng)態(tài)顯示

數(shù)碼管動(dòng)態(tài)動(dòng)態(tài)掃描顯示,實(shí)際上是利用了兩個(gè)現(xiàn)象:人眼的視覺(jué)暫留特性和數(shù)碼管的余暉效應(yīng)。人眼在觀察景物時(shí),光信號(hào)傳入到大腦神經(jīng)需要經(jīng)過(guò)一段時(shí)間,光的作用結(jié)束之后我們的視覺(jué)影像并不會(huì)立刻的消失,這種殘留的視覺(jué)被稱(chēng)為后像,這種現(xiàn)象就被稱(chēng)為視覺(jué)暫留;數(shù)碼管的余暉效應(yīng)是什么意思呢?當(dāng)我們停止向發(fā)光二極管供電時(shí),發(fā)光二極管的亮度仍能夠維持一段時(shí)間。我們的動(dòng)態(tài)掃描利用這兩個(gè)特性就實(shí)現(xiàn)了數(shù)碼管的動(dòng)態(tài)顯示。

(1)數(shù)碼管位掃描控制代碼:編寫(xiě)計(jì)數(shù)器cnt_scanf,周期性產(chǎn)生數(shù)碼管選擇信號(hào)seg_wei_num,根據(jù)seg_wei_num解碼對(duì)應(yīng)的需要使能數(shù)碼管位segma_wei_o。

// 計(jì)數(shù)器,控制數(shù)碼管位reg [23:0] cnt_scanf;always @(posedge clk_i or negedge rst_n_i) beginif(!rst_n_i) begin    cnt_scanf <= 24'd0;  endelse begin     if(cnt_scanf == timer_scanf_i)       cnt_scanf <= 24'd0;    else      cnt_scanf <= cnt_scanf + 24'd1;  endend
//數(shù)碼管掃描序號(hào)reg [2:0] segma_wei_num; always @(posedge clk_i or negedge rst_n_i) beginif(!rst_n_i) begin    segma_wei_num <= 3'd0;  endelse begin    if(cnt_scanf == timer_scanf_i)      segma_wei_num <= segma_wei_num + 3'd1;    else      segma_wei_num <= segma_wei_num;  endend
//解碼選中的數(shù)碼管always @(posedge clk_i or negedge rst_n_i) beginif(!rst_n_i) begin    segma_wei_o <= 8'b1111_1111;  endelse begin    case(segma_wei_num)      3'd0: segma_wei_o <= 8'b1110_1111; //選中第1個(gè)數(shù)碼管      3'd1: segma_wei_o <= 8'b1101_1111; //選中第2個(gè)數(shù)碼管      3'd2: segma_wei_o <= 8'b1011_1111; //選中第3個(gè)數(shù)碼管      3'd3: segma_wei_o <= 8'b0111_1111; //選中第4個(gè)數(shù)碼管          3'd4: segma_wei_o <= 8'b1111_1110; //選中第5個(gè)數(shù)碼管      3'd5: segma_wei_o <= 8'b1111_1101; //選中第6個(gè)數(shù)碼管      3'd6: segma_wei_o <= 8'b1111_1011; //選中第7個(gè)數(shù)碼管      3'd7: segma_wei_o <= 8'b1111_0111; //選中第8個(gè)數(shù)碼管       default: segma_wei_o <= 8'b1111_1111;      endcase  endend

(2)數(shù)碼管段顯示控制代碼:根據(jù)當(dāng)前使能的數(shù)碼管位segma_wei_num,獲取當(dāng)前數(shù)碼管位需要顯示的數(shù)據(jù)信息led_duan,然后根據(jù)led_duan數(shù)據(jù)解碼映射到需要顯示的每段發(fā)光二極管,數(shù)碼管DP段單獨(dú)控制。

//獲取當(dāng)前數(shù)碼管位DP段always @(*) begin  case(segma_wei_num)    3'd0: segma_duan_o[0] <= i_led0_data[4]; // 第1個(gè)數(shù)碼管DP段數(shù)據(jù)    3'd1: segma_duan_o[0] <= i_led1_data[4]; // 第2個(gè)數(shù)碼管DP段數(shù)據(jù)        3'd2: segma_duan_o[0] <= i_led2_data[4]; // 第3個(gè)數(shù)碼管DP段數(shù)據(jù)    3'd3: segma_duan_o[0] <= i_led3_data[4]; // 第4個(gè)數(shù)碼管DP段數(shù)據(jù)        3'd4: segma_duan_o[0] <= i_led4_data[4]; // 第5個(gè)數(shù)碼管DP段數(shù)據(jù)    3'd5: segma_duan_o[0] <= i_led5_data[4]; // 第6個(gè)數(shù)碼管DP段數(shù)據(jù)        3'd6: segma_duan_o[0] <= i_led6_data[4]; // 第7個(gè)數(shù)碼管DP段數(shù)據(jù)    3'd7: segma_duan_o[0] <= i_led7_data[4]; // 第8個(gè)數(shù)碼管DP段數(shù)據(jù)      endcaseend
//獲取當(dāng)前數(shù)碼管位其他段數(shù)據(jù)reg [3:0] led_duan;always @(*) begin  case(segma_wei_num)    3'd0: led_duan <= i_led0_data[3:0]; // 第1個(gè)數(shù)碼管其他段數(shù)據(jù)    3'd1: led_duan <= i_led1_data[3:0]; // 第2個(gè)數(shù)碼管其他段數(shù)據(jù)        3'd2: led_duan <= i_led2_data[3:0]; // 第3個(gè)數(shù)碼管其他段數(shù)據(jù)    3'd3: led_duan <= i_led3_data[3:0]; // 第4個(gè)數(shù)碼管其他段數(shù)據(jù)        3'd4: led_duan <= i_led4_data[3:0]; // 第5個(gè)數(shù)碼管其他段數(shù)據(jù)    3'd5: led_duan <= i_led5_data[3:0]; // 第6個(gè)數(shù)碼管其他段數(shù)據(jù)        3'd6: led_duan <= i_led6_data[3:0]; // 第7個(gè)數(shù)碼管其他段數(shù)據(jù)    3'd7: led_duan <= i_led7_data[3:0]; // 第8個(gè)數(shù)碼管其他段數(shù)據(jù)      endcaseend
//解碼數(shù)碼管段數(shù)據(jù)always @(*) begin  case(led_duan)      4'd0: segma_duan_o[7:1]  <= 7'b0000001; //"0"       4'd1: segma_duan_o[7:1]  <= 7'b1001111; //"1"      4'd2: segma_duan_o[7:1]  <= 7'b0010010; //"2"      4'd3: segma_duan_o[7:1]  <= 7'b0000110; //"3"      4'd4: segma_duan_o[7:1]  <= 7'b1001100; //"4"      4'd5: segma_duan_o[7:1]  <= 7'b0100100; //"5"      4'd6: segma_duan_o[7:1]  <= 7'b0100000; //"6"      4'd7: segma_duan_o[7:1]  <= 7'b0001111; //"7"      4'd8: segma_duan_o[7:1]  <= 7'b0000000; //"8"      4'd9: segma_duan_o[7:1]  <= 7'b0000100; //"9"      4'd10: segma_duan_o[7:1] <= 7'b0001000; //"A"      4'd11: segma_duan_o[7:1] <= 7'b1100000; //"B"      4'd12: segma_duan_o[7:1] <= 7'b0110001; //"C"      4'd13: segma_duan_o[7:1] <= 7'b1000010; //"D"      4'd14: segma_duan_o[7:1] <= 7'b0110000; //"E"      4'd15: segma_duan_o[7:1] <= 7'b0111000; //"F"      endcaseend

測(cè)試結(jié)果如下:

歡迎關(guān)注FPGA技術(shù)實(shí)戰(zhàn)公眾號(hào),喜歡就多多轉(zhuǎn)發(fā)吧!

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
EP2C50F484I8N 1 Intel Corporation Field Programmable Gate Array, 3158 CLBs, 402.5MHz, 50528-Cell, CMOS, PBGA484, LEAD FREE, FBGA-484
暫無(wú)數(shù)據(jù) 查看
EP2C8Q208I8N 1 Altera Corporation Field Programmable Gate Array, 516 CLBs, 402.5MHz, 8256-Cell, CMOS, PQFP208, LEAD FREE, PLASTIC, QFP-208
$39.64 查看
A3P125-VQG100I 1 Microsemi Corporation Field Programmable Gate Array, 3072 CLBs, 125000 Gates, 350MHz, CMOS, PQFP100, 14 X 14 MM, 1 MM HEIGHT, 0.50 MM PITCH, GREEN, VQFP-100

ECAD模型

下載ECAD模型
$14.94 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

專(zhuān)注FPGA技術(shù)開(kāi)發(fā),涉及Intel FPGA、Xilinx FPGA技術(shù)開(kāi)發(fā),開(kāi)發(fā)環(huán)境使用,代碼風(fēng)格、時(shí)序收斂、器件架構(gòu)以及軟硬件項(xiàng)目實(shí)戰(zhàn)開(kāi)發(fā),個(gè)人公眾號(hào):FPGA技術(shù)實(shí)戰(zhàn)。