加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入

logo

logo
  • 點贊
  • 1
  • 分享
免費
課程章節(jié)
  • 課程介紹
  • 相關(guān)文件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜
初級

深入淺出玩轉(zhuǎn)FPGA視頻教程

2020/04/27
6.8萬
  • 1評論
閱讀需 8 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

課程附件下載:

請至課程第一小節(jié)的附件處獲取

22.png


2009年,初出茅廬的特權(quán)同學(xué)可謂“初生牛犢不怕虎”,熱情洋溢的錄制了《深入淺出玩轉(zhuǎn)FPGA》這套視頻,次年也出版了同名圖書,沒想在資源匱乏的當(dāng)時,竟也能夠很快吸引了廣大FPGA初學(xué)者的關(guān)注和青睞,并且也確確實實的幫助了一些初學(xué)者。話說“十年磨一劍”,10多年轉(zhuǎn)眼即逝,好在特權(quán)同學(xué)早就忘卻了“網(wǎng)絡(luò)紅人”的光環(huán),俯身職場埋頭苦干,腳踏實地的專注在電子板級設(shè)計和FPGA開發(fā)的具體工作中,服務(wù)于項目和產(chǎn)品。對于做產(chǎn)品而言,特權(quán)同學(xué)感觸最深的,就是簡單、實用、穩(wěn)定、低成本至上。FPGA也是一樣,對于初學(xué)者而言,需要的并不是什么胡里花俏或高大上的應(yīng)用,而是一步一個腳印,扎扎實實的從零開始,多花時間寫代碼,不斷的仿真、調(diào)試再上板,自己動手練一遍每個基礎(chǔ)的實例。

多年來,很多業(yè)內(nèi)的朋友也是希望我抽空再好好錄制一套FPGA視頻教程,考慮到時間精力有限,一直很難下定決心。近年來,特權(quán)同學(xué)在企業(yè)中參與FPGA工程師的招聘,發(fā)現(xiàn)在市場上找到相對水平高一點的FPGA人才也實在是難上加難。于是,特權(quán)同學(xué)決定在《深入淺出玩轉(zhuǎn)FPGA》面世10年的當(dāng)兒,以一個新的FPGA平臺(Xilinx Artix-7系列),從基礎(chǔ)的FPGA知識開始,到工具的安裝使用,再到基礎(chǔ)實例、調(diào)試實例和項目實例等全方面引領(lǐng)廣大FPGA初學(xué)者完成FPGA的入門和進(jìn)階。當(dāng)然,希望這只是一個開始,在時間和精力許可的情況下,特權(quán)同學(xué)還準(zhǔn)備錄制一套以代碼練習(xí)為主的語法學(xué)習(xí)視頻《Verilog邊碼邊學(xué)》。希望大家多多支持,您的鼓勵是特權(quán)同學(xué)不斷分享的動力。


課程簡介:

《2020版深入淺出玩轉(zhuǎn)FPGA視頻教程》基于Xilinx公司的Artix-7 FPGA器件以及各種豐富的入門和進(jìn)階外設(shè)。視頻共37課時,總時長約800分鐘,提供了一些典型的工程實例,幫助學(xué)習(xí)者從FPGA基礎(chǔ)知識、邏輯設(shè)計概念、工具配置和使用、設(shè)計源碼編寫、設(shè)計功能原理、仿真驗證、板級設(shè)計和調(diào)試等方面由淺入深扎實的掌握FPGA開發(fā)。

與本視頻配套的圖書《Xilinx Artix-7 FPGA快速入門、技巧與實例》(清華大學(xué)出版社)已經(jīng)上市銷售。本視頻與2010年特權(quán)同學(xué)錄制的《深入淺出玩轉(zhuǎn)FPGA》同名(本視頻為2020新版),但配套的圖書和板卡不同,內(nèi)容經(jīng)過特權(quán)同學(xué)10年的積累,經(jīng)過深加工,更適合廣大FPGA初學(xué)者和進(jìn)階者學(xué)習(xí)。

出版的圖書在京東和當(dāng)當(dāng)有售


課程目錄:

Lesson01 FPGA基本概念

Lesson02 FPGA器件結(jié)構(gòu)

Lesson03 Verilog語法簡介

Lesson04 Verilog代碼風(fēng)格與書寫規(guī)范

Lesson05 FPGA板級電路設(shè)計(STAR開發(fā)板

Lesson06 軟件安裝配置說明

Lesson07 第一個工程創(chuàng)建、源碼輸入與仿真

Lesson08 第一個工程編譯、引腳分配、下載與固化

Lesson09 FPGA入門實例:撥碼開關(guān)的LED控制實例

Lesson10 查看Vivado的Schematic視圖

Lesson11 FPGA入門實例:流水燈實例

Lesson12 FPGA入門實例:PLL的IP核配置實例

Lesson13 FPGA入門實例:自定義IP核創(chuàng)建與配置

Lesson14 FPGA入門實例:3-8譯碼器實例

Lesson15 FPGA入門實例:按鍵消抖實例

Lesson16 FPGA入門實例:數(shù)碼管實例

Lesson17 基于FPGA的仿真驗證

Lesson18 FPGA入門實例:4X4矩陣按鍵實例

Lesson19 狀態(tài)機(jī)設(shè)計

Lesson20 FPGA入門實例:UART的loopback實例

Lesson21 FPGA入門實例:超聲波測距實例

Lesson22 FPGA入門實例:SPI接口DAC驅(qū)動控制

Lesson23 FPGA入門實例:I2C接口RTC時間顯示控制

Lesson24 FPGA入門實例:7寸液晶屏ColorBar顯示驅(qū)動

Lesson25 XADC實例:基于XADC的AD采集顯

Lesson26 XADC實例:基于XADC的FPGA內(nèi)部溫度采集顯示

Lesson27 FPGA在線調(diào)試:在線邏輯分析儀應(yīng)用實例

Lesson28 FPGA在線調(diào)試:虛擬IO應(yīng)用實例

Lesson29 DDR3實例:DDR3 IP介紹與配置

Lesson30 DDR3實例:DDR3 IP仿真驗證

Lesson31 DDR3實例:基于在線邏輯分析儀調(diào)試DDR3數(shù)據(jù)讀寫

Lesson32 DDR3實例:基于UART命令的DDR3批量數(shù)據(jù)讀寫

Lesson33 LVDS實例:LVDS數(shù)據(jù)收發(fā)實例

Lesson34 LVDS實例:帶CRC校驗的LVDS數(shù)據(jù)收發(fā)實例

Lesson35 綜合實例:倒車雷達(dá)

Lesson36 綜合實例:波形發(fā)生器

Lesson37 綜合實例:工業(yè)現(xiàn)場監(jiān)控界面設(shè)計


講師介紹:

吳厚航【網(wǎng)名:特權(quán)同學(xué)】

10多年的數(shù)字電路與FPGA工程實踐經(jīng)驗,專注于FPGA時序控制、高速數(shù)據(jù)采集、圖像處理與算法實現(xiàn)等具體應(yīng)用。擅長記錄、分析并總結(jié)各種FPGA開發(fā)的經(jīng)驗與技巧,且樂于分享?;钴S于各大電子技術(shù)網(wǎng)站的FPGA社區(qū)或版塊,多年來筆輟不耕、風(fēng)雨無阻,不斷發(fā)表FPGA相關(guān)文章(累計點擊量超過500萬次)。著有《深入淺出玩轉(zhuǎn)FPGA》、《Xilinx Artix-7 FPGA快速入門、技巧與實例》等FPGA技術(shù)相關(guān)圖書(累計銷量超過5萬冊)。

  • 課件下載.pdf
    描述:課件下載.pdf
賽靈思

賽靈思

賽靈思(英語:Xilinx)是一家位于美國的可編程邏輯器件的生產(chǎn)商。該公司發(fā)明了現(xiàn)場可編程邏輯門陣列,并由此成名。賽靈思還是第一個無廠半導(dǎo)體公司(Fabless)。28nm時代,賽靈思提出All Programmable 的概念,從單一的FPGA企業(yè)戰(zhàn)略轉(zhuǎn)型為All Programmable FPGA、 SoC 和 3D IC 的全球領(lǐng)先提供商。且行業(yè)領(lǐng)先的器件與新一代設(shè)計環(huán)境以及 IP 完美地整合在一起,可滿足客戶對可編程邏輯乃至可編程系統(tǒng)集成的廣泛需求賽靈思于1984年創(chuàng)建于美國加利福尼亞州的硅谷,總部位于硅谷核心的圣何塞,并在科羅拉多州、愛爾蘭、新加坡 印度、中國、日本擁有分支機(jī)構(gòu)

賽靈思(英語:Xilinx)是一家位于美國的可編程邏輯器件的生產(chǎn)商。該公司發(fā)明了現(xiàn)場可編程邏輯門陣列,并由此成名。賽靈思還是第一個無廠半導(dǎo)體公司(Fabless)。28nm時代,賽靈思提出All Programmable 的概念,從單一的FPGA企業(yè)戰(zhàn)略轉(zhuǎn)型為All Programmable FPGA、 SoC 和 3D IC 的全球領(lǐng)先提供商。且行業(yè)領(lǐng)先的器件與新一代設(shè)計環(huán)境以及 IP 完美地整合在一起,可滿足客戶對可編程邏輯乃至可編程系統(tǒng)集成的廣泛需求賽靈思于1984年創(chuàng)建于美國加利福尼亞州的硅谷,總部位于硅谷核心的圣何塞,并在科羅拉多州、愛爾蘭、新加坡 印度、中國、日本擁有分支機(jī)構(gòu)收起

查看更多

相關(guān)推薦

電子產(chǎn)業(yè)圖譜