加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴散
  • 作品版權(quán)保護
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

Arteris? IP 推出Harmony Trace?? Design Data Intelligence 解決方案

2021/11/19
194
閱讀需 4 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

業(yè)界領(lǐng)先的提供片上網(wǎng)絡(luò) (NoC)互連 IP和IP部署技術(shù)以加快SoC創(chuàng)建的系統(tǒng)級芯片(SoC)系統(tǒng)IP供應(yīng)商Arteris IP (納斯達克股票代碼: AIP)今天宣布,推出 Arteris® Harmony Trace™? Design Data Intelligence 解決方案,以簡化對 ISO 26262、IEC 61508、ISO 9001 和 IATF 16949 等半導(dǎo)體行業(yè)功能安全和質(zhì)量標準的合規(guī)性。

本發(fā)布的重點 :

  • Harmony Trace 通過識別和修復(fù)不同系統(tǒng)之間的可追溯性差距來提高系統(tǒng)質(zhì)量并加速功能安全評估。
  • Harmony Trace 是獨一無二的,因為它讓工程師可以自由地使用“適合工作的最佳工具”并自動鏈接需求和工件。

對于具有功能安全要求或創(chuàng)建復(fù)雜 SoC 或系統(tǒng)的設(shè)計團隊來說,Arteris® Harmony Trace™? 可提高系統(tǒng)質(zhì)量和實現(xiàn)功能安全認證的能力。通過在不同的系統(tǒng)之間創(chuàng)建和維護需求、規(guī)范、EDA硬件設(shè)計、軟件代碼和文檔的可追溯性,工程師將會立即知道何時發(fā)生更改,以及該更改對其他設(shè)計工件和系統(tǒng)部件的影響。

Harmony Trace 是作為基于服務(wù)器的企業(yè)級應(yīng)用程序來執(zhí)行的,它有基于網(wǎng)絡(luò)的用戶界面,可與 EDA、文檔、現(xiàn)有需求、軟件工程和支持系統(tǒng)進行對接。與應(yīng)用生命周期管理 (ALM) 和產(chǎn)品生命周期管理 (PLM) 之類解決方案不同,這類解決方案要求工程師使用在任何方面都不是最佳的單一環(huán)境,而Arteris Harmony Trace是基于所有系統(tǒng)創(chuàng)建一個系統(tǒng),使整個SoC設(shè)計流程和產(chǎn)品生命周期的需求追蹤完全可見。

“開發(fā)一個復(fù)雜的 SoC 往往涉及到一系列不同的且互不關(guān)聯(lián)的工具,這就很難維持一個記錄,以便在產(chǎn)品生命周期內(nèi)跟蹤設(shè)計要求和工件。”Linley Group 的高級分析師 Mike Demler 表示。 “但 Arteris Harmony Trace 通過連接孤立的不同工具來緩解這些問題,這樣用戶可以在現(xiàn)有系統(tǒng)中跟蹤需求、實施、驗證和文檔不匹配。這意味著工程師可以繼續(xù)使用一流的解決方案和技術(shù),如 EDA 工具、IBM DOORS、Jama、Jira、DITA 和 IP-XACT,同時體驗到自動追溯的好處。 Harmony Trace 幫助設(shè)計團隊滿足 ISO 26262 和 IEC 61508 等功能安全標準的質(zhì)量和變更管理要求。”

“Arteris Harmony Trace 的開發(fā)是由我們客戶的需求驅(qū)動的,客戶需要在他們現(xiàn)有的需求、規(guī)范、EDA、代碼庫和文檔工具之間建立一個自動追溯流程,并實施變更管理的最佳做法。” Arteris IP公司總裁兼首席執(zhí)行官 K. Charles Janac 說。“由于其獨特的半導(dǎo)體行業(yè)特定語義計算技術(shù),Harmony Trace 使我們的客戶能夠使用他們現(xiàn)有的各種工具,并在它們之間進行自動鏈接數(shù)據(jù)。”

相關(guān)推薦

電子產(chǎn)業(yè)圖譜