加入星計劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

從離子注入機(jī)實現(xiàn)0突破談到光刻機(jī),這差距你不得不服

2019/01/23
498
  • 1評論
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點資訊討論

?

去年說到今年的芯片芯片制造已經(jīng)成為吃瓜群眾都能談上幾句的話題。當(dāng)然很多人對芯片其實并不能有一個準(zhǔn)確的定位。很多時候直接用芯片代替半導(dǎo)體、集成電路、晶圓等詞匯,其實它們之間是有一定差異的。

芯片制造的過程,其實是個相當(dāng)復(fù)雜的過程,芯片是多個學(xué)科共同作用的結(jié)晶。廠商在制造芯片的過程中,從前端工序、到晶圓制造工序,之后再到封裝和測試工序,主要用到的設(shè)備依次包括,單晶爐、氣相外延爐、氧化爐、低壓化學(xué)氣相沉積系統(tǒng)、磁控濺射臺、光刻機(jī)、刻蝕機(jī)、離子注入機(jī)、晶片減薄機(jī)、晶圓劃片機(jī)、鍵合封裝設(shè)備、測試機(jī)、分選機(jī)和探針臺等。
??

▲晶圓制造中的七個主生產(chǎn)區(qū)

在這幾年的國家重點支持下,很多半導(dǎo)體核心設(shè)備實現(xiàn)了中高端國產(chǎn)化,離子注入機(jī)就是其中一個非常重要的突破,打破了歐美廠商獨霸天下的局面。離子注入機(jī)可以幫助光刻機(jī)完成晶圓制造。光刻機(jī)在芯片制造工序中是最核心的設(shè)備,廠商必須要利用光刻機(jī),才能把掩模版上的圖形(電路結(jié)構(gòu))臨時“復(fù)印”到硅片等半導(dǎo)體基材(表面已均勻涂有光刻膠)上,以便開展下一步工序。直到 2017 年 8 月,我們國家才擁有國產(chǎn)商用的“離子注入機(jī)”。

把離子注入機(jī)實現(xiàn)國產(chǎn)化的廠商是電科裝備公司,是國內(nèi)唯一具備成套離子注入機(jī)制造的廠商,其研發(fā)的離子注入機(jī)已經(jīng)被應(yīng)用到中芯國際生產(chǎn)線,雖然暫時無法達(dá)到美國應(yīng)用材料公司的高端設(shè)備水平,但已經(jīng)是 0 的突破了。

那我們再來說說光刻機(jī):

光刻機(jī)的背景
光刻機(jī)是半導(dǎo)體產(chǎn)業(yè)中最關(guān)鍵設(shè)備,也被譽為半導(dǎo)體產(chǎn)業(yè)皇冠上的明珠。集成電路里的晶體管是通過光刻工藝在晶圓上做出來的,光刻工藝決定了半導(dǎo)體線路的線寬,同時也決定了芯片的性能和功耗。

工欲善其事,必先利其器,要想半導(dǎo)體產(chǎn)業(yè)突破技術(shù)封鎖,要想開發(fā)先進(jìn)的半導(dǎo)體制程,就必需要有先進(jìn)的光刻機(jī)。

?

曾經(jīng)輝煌的歷史
很多人以為中國人自己做不出光刻機(jī),以為中國的光刻機(jī)一直都很落后,但其實并不是。

下圖是 1979 年中國上海產(chǎn)的 JKG-2 光刻機(jī)

值得注意的是,此系列光刻機(jī)如今仍在市場銷售,jkg-3 型光刻機(jī)是 1981 年研制成功的,此后研發(fā)停止。


??

而且中國不只是能研發(fā)制造成系列光刻機(jī)的不只是一個地方,就小編查到的來說,就至少有三個:

1979 年 1445 所研發(fā)的 gk-4 光刻機(jī)


??

值得注意的是 1978 年出品的英特爾 8086cpu 的制程是 3 微米。對應(yīng)的是光刻機(jī)的曝光最細(xì)條寬(又稱為分辨率)性能。

1982 年科學(xué)院 109 廠的 KHA-75-1 光刻機(jī)


?

這些光刻機(jī)在當(dāng)時的水平均不低,從 jkg 系列至今仍在銷售的情況來看,都具有不錯的使用價值。

還有零零碎碎的的比如 1979 年的

?

了解電子歷史的都應(yīng)該清楚,這些玩意電子表和袖珍計算器在 80 年代無論是在國際上還是國內(nèi)都是相當(dāng)前衛(wèi)和先進(jìn)的產(chǎn)品。

另外的還有 1981 年的 16 萬像素的 ccd,這可是數(shù)碼相機(jī)的基礎(chǔ)啊,在當(dāng)時絕對的高精尖。

?

因此中國的半導(dǎo)體計算機(jī)其實并不是很多人印象中的一直很落后,而是改革開放后才沒落的。1983 年后,由于中國半導(dǎo)體產(chǎn)業(yè)失去了其最大的主顧,軍隊。而在產(chǎn)業(yè)化方面又遠(yuǎn)遠(yuǎn)比不上西方成熟規(guī)模化的供應(yīng)鏈,而半導(dǎo)體產(chǎn)業(yè)是個贏者通吃的游戲,再加上當(dāng)時政策導(dǎo)向下的利潤壓力,中國半導(dǎo)體產(chǎn)業(yè)在與國外產(chǎn)業(yè)市場競爭中全面落敗進(jìn)而被放棄就成了必然的選擇。

當(dāng)然,歷史終究是歷史。天道規(guī)律從未改變也未曾偏頗,所做出的的選擇終究會在不斷的發(fā)展中顯現(xiàn)。

這些年來,國內(nèi)也出現(xiàn)了不少設(shè)備廠商,以及研究機(jī)構(gòu)在對光刻機(jī)進(jìn)行研發(fā)。如上海微電子、中電科四十五所、中電科四十八所等。所以并不是中國人自己不研制光刻機(jī),尤其是研制前道光刻機(jī),而是因為在研制出達(dá)到國際一流的光刻機(jī)中,所面臨的困難既太多,又太難。那么,在我國光刻機(jī)的發(fā)展現(xiàn)狀如何呢?我們和國外的光刻機(jī)設(shè)備廠商存在哪些差距呢?在具體到每個廠商市場和產(chǎn)品介紹之前,本文會先介紹一下關(guān)于光刻機(jī)的關(guān)鍵技術(shù)及原理。希望拋磚引玉,讓真正懂行的人來說說。

?

光刻機(jī)關(guān)鍵技術(shù)及工作原理
光刻機(jī)就是放大的單反,光刻機(jī)就是將光罩上的設(shè)計好集成電路圖形通過光線的曝光印到光感材料上,形成圖形。最核心的就是鏡頭,這個不是一般的鏡頭,可以達(dá)到高 2 米直徑 1 米,甚至更大。


?

光源:
光源是光刻機(jī)核心之一,光刻機(jī)的工藝能力首先取決于其光源的波長。下表是各類光刻機(jī)光源的具體參數(shù):


?

最早光刻機(jī)的光源是采用汞燈產(chǎn)生的紫外光源(UV: Ultraviolet Light),從 g-line 一直發(fā)展到 i-line,波長縮小到 365nm,實際對應(yīng)的分辨率大約在 200nm 以上。

隨后,業(yè)界采用了準(zhǔn)分子激光的深紫外光源(DUV: Deep Ultraviolet Light)。將波長進(jìn)一步縮小到 ArF 的 193nm。不過原本接下來打算采用的 157nm 的 F2 準(zhǔn)分子激光上遇到了一系列技術(shù)障礙以后,ArF 加浸入技術(shù)(Immersion Technology)成為了主流。

所謂浸入技術(shù),就是讓鏡頭和硅片之間的空間浸泡于液體之中。由于液體的折射率大于 1,使得激光的實際波長會大幅度縮小。目前主流采用的純凈水的折射率為 1.44,所以 ArF 加浸入技術(shù)實際等效的波長為 193nm/1.44=134nm。從而實現(xiàn)更高的分辨率。F2 準(zhǔn)分子激光之所以沒有得以發(fā)展的一個重大原因是,157nm 波長的光線不能穿透純凈水,無法和浸入技術(shù)結(jié)合。所以,準(zhǔn)分子激光光源只發(fā)展到了 ArF。

這之后,業(yè)界開始采用極紫外光源(EUV: Extreme Ultraviolet Light)來進(jìn)一步提供更短波長的光源。目前主要采用的辦法是將準(zhǔn)分子激光照射在錫等靶材上,激發(fā)出 13.5nm 的光子,作為光刻機(jī)光源。目前,各大 Foundry 廠在 7nm 以下的最高端工藝上都會采用 EUV 光刻機(jī),其中三星在 7nm 節(jié)點上就已經(jīng)采用了。而目前只有荷蘭 ASML 一家能夠提供可供量產(chǎn)用的 EUV 光刻機(jī)。

分辨率:
光刻機(jī)的分辨率(Resolution)表示光刻機(jī)能清晰投影最小圖像的能力,是光刻機(jī)最重要的技術(shù)指標(biāo)之一,決定了光刻機(jī)能夠被應(yīng)用于的工藝節(jié)點水平。

套刻精度:
套刻精度(Overlay Accuracy)的基本含義時指前后兩道光刻工序之間彼此圖形的對準(zhǔn)精度(3σ),如果對準(zhǔn)的偏差過大,就會直接影響產(chǎn)品的良率。對于高階的光刻機(jī),一般設(shè)備供應(yīng)商就套刻精度會提供兩個數(shù)值,一種是單機(jī)自身的兩次套刻誤差,另一種是兩臺設(shè)備(不同設(shè)備)間的套刻誤差。

工藝節(jié)點:
工藝節(jié)點(nodes)是反映集成電路技術(shù)工藝水平最直接的參數(shù)。目前主流的節(jié)點為 0.35um、0.25um、0.18um、90nm、65nm、40nm、28nm、20nm、16/14nm、10nm、7nm 等。傳統(tǒng)上(在 28nm 節(jié)點以前),節(jié)點的數(shù)值一般指 MOS 管柵極的最小長度(gate length),也有用第二層金屬層(M2)走線的最小間距(pitch)作為節(jié)點指標(biāo)的。

節(jié)點的尺寸數(shù)值基本上和晶體管的長寬成正比關(guān)系,每一個節(jié)點基本上是前一個節(jié)點的 0.7 倍。這樣以來,由于 0.7X0.7=0.49,所以每一代工藝節(jié)點上晶體管的面積都比上一代小大約一半,也就是說單位面積上的晶體管數(shù)量翻了一番。這也是著名的摩爾定律(Moore's Law)的基礎(chǔ)所在。一般而言,大約 18~24 個月,工藝節(jié)點就會發(fā)展一代。

但是到了 28nm 之后的工藝,節(jié)點的數(shù)值變得有些混亂。一些 Foundry 廠可能是出于商業(yè)宣傳的考量,故意用一些圖形的特征尺寸(Feature Size)來表示工藝節(jié)點,他們往往用最致密周期圖形的半間距長度來作為工藝節(jié)點的數(shù)值。這樣一來,雖然工藝節(jié)點的發(fā)展依然是按照 0.7 倍的規(guī)律前進(jìn),但實際上晶體管的面積以及電性能的提升則遠(yuǎn)遠(yuǎn)落后于節(jié)點數(shù)值變化。更為麻煩的是,不同 Foundry 的工藝節(jié)點換算方法不一,這便導(dǎo)致了很多理解上的混亂。根據(jù)英特爾的數(shù)據(jù),他們 20nm 工藝的實際性能就已經(jīng)相當(dāng)于三星的 14nm 和臺積電的 16nm 工藝了。


?

上圖為英特爾公布的 10nm 節(jié)點詳細(xì)工藝參數(shù)對比。由圖可以明顯看到,同樣 10nm 工藝節(jié)點上,英特爾的晶體管密度大約是三星和臺積電的兩倍。

以上內(nèi)容簡單介紹了集成電路工藝節(jié)點的相關(guān)知識,有興趣的讀者可以自行去搜索更深入的內(nèi)容。我們現(xiàn)在來說一下光刻機(jī)對于工藝節(jié)點發(fā)展的影響和貢獻(xiàn)。

?

在 65nm 工藝及以前,工藝節(jié)點的數(shù)值幾乎和光刻機(jī)的最高分辨率是一致的。由于鏡頭 NA 的指標(biāo)沒有太大的變化,所以工藝節(jié)點的水平主要由光源的波長所決定。ArF 193nm 的波長可以實現(xiàn)的最高工藝節(jié)點就是 65nm。

而到了 65nm 以后,由于光源波長難于進(jìn)一步突破,業(yè)界采用了浸入式技術(shù),將等效的光源波長縮小到了 134nm。不僅如此,在液體中鏡頭的 NA 參數(shù)也有了較大的突破。根據(jù) ASML 產(chǎn)品數(shù)據(jù)信息,采用浸入技術(shù)之后,NA 值由 0.50–0.93 發(fā)展到了 0.85–1.35,從而進(jìn)一步提高了分辨率。同時,在相移掩模(Phase-Shift Mask)和 OPC(Optical Proximity Correction)等技術(shù)的協(xié)同助力之下,在光刻設(shè)備的光源不變的條件下,業(yè)界將工藝節(jié)點一直推進(jìn)到了 28nm。

而到了 28nm 以后,由于單次曝光的圖形間距已經(jīng)無法進(jìn)一步提升,所以業(yè)界開始廣泛采用 Multiple Patterning 的技術(shù)來提高圖形密度,也就是利用多次曝光和刻蝕的辦法來產(chǎn)生更致密圖形。

值得特別注意的是,Multiple Patterning 技術(shù)的引入導(dǎo)致了掩模(Mask)和生產(chǎn)工序的增加,直接導(dǎo)致了成本的劇烈上升,同時給良率管理也帶來一定的麻煩。同時由于前述的原因,節(jié)點的提升并沒有帶來芯片性能成比例的增加,所以目前只有那些對芯片性能和功耗有著極端要求的產(chǎn)品才會采用這些高階工藝節(jié)點技術(shù)。于是,28nm 便成為了工藝節(jié)點的一個重要的分水嶺,它和下一代工藝之間在性價比上有著巨大的差別。大量不需要特別高性能,而對成本敏感的產(chǎn)品(比如 IOT 領(lǐng)域的芯片)會長期對 28nm 工藝有著需求。所以 28nm 節(jié)點會成為一個所謂的長節(jié)點,在未來比較長的一段時間里都會被廣泛應(yīng)用,其淘汰的時間也會遠(yuǎn)遠(yuǎn)慢于其它工藝節(jié)點。

根據(jù)業(yè)界的實際情況,英特爾和臺積電一直到 7nm 工藝節(jié)點都依然使用浸入式 ArF 的光刻設(shè)備。但是對于下一代的工藝,則必須采用 EUV 光源的設(shè)備了。目前全球只有 ASML 一家能夠提供波長為 13.5nm 的 EUV 光刻設(shè)備。毫無疑問,未來 5nm 和 3nm 的工藝,必然是 EUV 一家的天下。事實上,三星在 7nm 節(jié)點上便已經(jīng)采用了 EUV 光刻設(shè)備,而中芯國際也訂購了一臺 EUV 用于 7nm 工藝的研發(fā)。

?

為方便讀者理解,上圖是我們整理的各個工藝節(jié)點和工藝及光刻機(jī)光源類型的關(guān)系圖。

?

光刻設(shè)備及供應(yīng)商概覽
了解了光刻設(shè)備的基本知識,接下來我們便可以具體了解目前全球幾家主要供應(yīng)商的光刻機(jī)的情況了。

目前市場上主要的光刻機(jī)供應(yīng)商有荷蘭的 ASML、日本的 NIKON 和 CANON,以及中國大陸的上海微電子裝備(SMEE)。
?

上圖是從幾家供應(yīng)商的網(wǎng)站上收集到的目前在售的所有光刻機(jī)的列表及相關(guān)參數(shù)。需要注意的是,目前光刻設(shè)備按照曝光方式分為 Stepper 和 Scanner 兩種。

Stepper 是傳統(tǒng)地一次性將整個區(qū)域進(jìn)行曝光;而 Scanner 是鏡頭沿 Y 方向的一個細(xì)長空間曝光,硅片和掩模同時沿 X 方向移動經(jīng)過曝光區(qū)動態(tài)完成整個區(qū)域的曝光。和 Stepper 相比,Scanner 不僅圖像畸變小、一致性高,而且曝光速度也更快。所以目前主流光刻機(jī)都是 Scanner,只有部分老式設(shè)備依舊是 Stepper。上表中如果沒有特別注明,都是屬于 Scanner 類型。

?

國外光刻機(jī)發(fā)展

荷蘭 ASML:強大的研發(fā)能力換來業(yè)界話語權(quán)

ASML (全稱:Advanced Semiconductor Material Lithography,ASML Holding N.V),中文名稱為阿斯麥(中國大陸)、艾司摩爾(中國臺灣),是總部設(shè)在荷蘭 Veldhoven 的全球最大的半導(dǎo)體設(shè)備制造商之一,向全球復(fù)雜集成電路生產(chǎn)企業(yè)提供領(lǐng)先的綜合性關(guān)鍵設(shè)備。ASML 的股票分別在阿姆斯特丹及紐約上市。另外,ASML 的大股東是英特爾,三星和臺積電(TSMC)。
?

由于 ASML 是業(yè)界公認(rèn)的領(lǐng)頭羊,我們便以它為對象進(jìn)行研究。由上表可知,ASML 的產(chǎn)品一共有四個系列,非嚴(yán)格地,我們正好可以將其按照技術(shù)水平分為四個檔次。

從其它三家的產(chǎn)品列表中可以看到,目前其它幾家都沒有正式發(fā)布的 EUV 級別產(chǎn)品能夠和 ASML 一較高下,只有 Nikon NRS 系列有 ArF 浸入式光刻機(jī),參數(shù)指標(biāo)上勉強可以達(dá)到 ASML 高端產(chǎn)品的水準(zhǔn)。但是從業(yè)界的反饋來看,Nikon 高端系列實際性能相比 ASML 同檔次設(shè)備仍有不小差距,尤其是在套刻精度上遠(yuǎn)遠(yuǎn)達(dá)不到官方宣稱水準(zhǔn),以至于 Nikon 光刻設(shè)備在售價不到 ASML 同類產(chǎn)品一半的前提下,依舊銷售不佳。

ASML 一直以來保持了高研發(fā)投入(甚至讓自己的客戶掏錢),因此其專利申請量也長期保持高位。第一波高速上漲來自 2000 至 2004 年,這一時期 Intel、AMD、VIA 及 IBM 等企業(yè)設(shè)計的半導(dǎo)體芯片性能快速提升,為了克制芯片在高頻率運行時產(chǎn)生的高溫,他們對半導(dǎo)體制程提出了越來越高的要求,這間接導(dǎo)致了光刻機(jī)技術(shù)的不斷提升。不過由于光物理性質(zhì)的影響,在光刻機(jī)發(fā)展到 193nm 后,研發(fā)陷入了困局。幾大芯片巨頭合力將 193nm 沉浸式光刻技術(shù)延伸至 15nm 令光刻機(jī)企業(yè)研發(fā)及專利申請下滑。但是沉浸式光刻終于在 7nm 之后難以再次發(fā)展,EUV 成為了解決這一問題的關(guān)鍵,近些年里 ASML 相關(guān)技術(shù)專利申請再次進(jìn)入增長階段。

作為一家荷蘭的企業(yè),ASML 的專利地理布局上卻值得我們思考。其在全球各地專利申請量的排名,依次是美國、日本、中國臺灣、韓國以及中國。這個順序的有意思之處在于 ASML 的專利地理布局是根據(jù)客戶及競爭對手兩個因素進(jìn)行布局。美國既有 ASML 的幾大客戶,如 Intel 和德州儀器,又有 ABM、Applied Materials、Lam Research、及 Rudolph Technologies 等競爭對手,自然是重中之重。
?

上圖顯示了 ASML 公司近 3 年的研發(fā)方向和關(guān)注技術(shù)的時間變化趨勢。通過了解過去 3 年內(nèi)重點技術(shù)的專利戰(zhàn)略,我們借此來分析 ASML 公司近來關(guān)注重點的變化。如 H01L 半導(dǎo)體器件的方面 ASML 的申請量下滑,可能意味著其已經(jīng)完成了 EUV 光刻機(jī)半導(dǎo)體器件的設(shè)計;而 G02B 光學(xué)元件及 H05G X 射線技術(shù)兩個 IPC 分類下專利申請量的增加,也行意味著 ASML 還在改善光刻技術(shù)中光學(xué)組件的性能以及 X 射線的強度。

正如 ASML 讓 Intel、三星和臺積電投資自己,共同承擔(dān) EUV 的研發(fā)成本,ASML 也投資了在光刻中起到關(guān)鍵作用的光學(xué)設(shè)備企業(yè) Carl Zeiss。


?

Carl Zeiss 是 ASML 最重要的長期策略合作伙伴,長期以來為 ASML 的光刻設(shè)備提供最關(guān)火鍵且高效能的光學(xué)系統(tǒng)。在下文的 EUV 相關(guān)專利申請排名上,Carl Zeiss 更是占據(jù)了頭把交椅,這也說明了其在 EUV 相關(guān)光學(xué)設(shè)備上無可替代的地位。為了獲得優(yōu)先供貨和在 2020 年代初期就能夠讓芯片制造行業(yè)使用搭載全新光學(xué)系統(tǒng)的新一代 EUV 光刻設(shè)備,ASML 和 Carl Zeiss 決定進(jìn)一步強化合作關(guān)系。

日本 Nikon 和 Canon:退出高端光刻機(jī)角逐臺
Canon 早已在很多年前便放棄了在高端光刻機(jī)上的競爭,目前產(chǎn)品主要集中在面板等領(lǐng)域。目前他們還在銷售的集成電路光刻設(shè)備在指標(biāo)標(biāo)上只相當(dāng)于 ASML 的低端產(chǎn)品 PAS5500 系列。

Nikon 作為世界上僅有的三家能夠制造商用光刻機(jī)的公司之一,似乎在這個領(lǐng)域不被許多普通人知道,許多人只知道 Nikon 的相機(jī)做的好,卻不知道 Nikon 光刻機(jī)同樣享譽全球。
Nikon (7731.JP)成立于 1917 年,是總部設(shè)在日本東京,主要分四個事業(yè)領(lǐng)域,分別精密設(shè)備公司、映像公司、儀器公司及其他(包括 CMP 裝置事業(yè)、測量機(jī)事業(yè)、望遠(yuǎn)鏡事業(yè)等)。
?

荷蘭 ASML 一步步占據(jù)市場統(tǒng)治地位,Nikon 光刻機(jī)唯一剩下的優(yōu)勢就是同類機(jī)型價格不到 ASML 的一半。但給予 Nikon 致命一擊的還是英特爾,在新制程中停止采購 Nikon 的光刻機(jī),據(jù)悉,所有主流半導(dǎo)體產(chǎn)線中只有少數(shù)低階老機(jī)齡的光刻機(jī)還是 Nikon 或者 Canon 的。畢竟現(xiàn)在英特爾,三星和臺積電都成為 ASML 的股東了。
?

在 EUV 技術(shù)領(lǐng)域內(nèi),ASML 已經(jīng)與其他競爭者之間拉開了差距。雖然其并未排名第一,但是排名第一的卡爾蔡司(Carl Zeiss)屬于光學(xué)儀器企業(yè),蔡司為 ASML 等光刻機(jī)企業(yè)提供光學(xué)組建。而 ASML 較其直接競爭對手 NIKON(尼康)和 CANON(佳能)在 EUV 專利數(shù)量上有很大的優(yōu)勢,甚至比 NC 兩家之和還要多。

日本一橋大學(xué)創(chuàng)新研究中心教授中馬宏之,曾對日本微影雙雄尼康與佳能的敗因深入檢討。他在研究論文指出,ASML 微影機(jī)臺有 90%以上零件向外采購,這一比例遠(yuǎn)高于競爭對手 Nikon 和 Canon,“這種獨特的采購策略,是 ASML 成為市場領(lǐng)導(dǎo)者的關(guān)鍵。”

中馬宏之認(rèn)為,高度外包的策略,讓 ASML 可以快速取得各領(lǐng)域最先進(jìn)的技術(shù),讓自己專注在客戶的需求,以及系統(tǒng)整合等兩大關(guān)鍵重點。

?

國產(chǎn)光刻機(jī)主要廠商
上海微電子裝備(SMEE)

作為國內(nèi)光刻設(shè)備的龍頭企業(yè),由于起步較晚且技術(shù)積累薄弱,目前最先進(jìn)的光刻設(shè)備也只能提供最高 90mn 的工藝技術(shù)。單從指標(biāo)上看,基本也和 ASML 的低端產(chǎn)品 PAS5500 系列屬于同一檔次。
?

SMEE 專利申請趨勢圖

合肥芯碩半導(dǎo)體有限公司
合肥芯碩半導(dǎo)體有限公司成立與 2006 年 4 月,是國內(nèi)首家半導(dǎo)體直寫光刻設(shè)備制造商。該公司自主研發(fā)的 ATD4000,已經(jīng)實現(xiàn)最高 200nm 的量產(chǎn)。
?

合肥芯碩重點專利技術(shù)

無錫影速半導(dǎo)體科技有限公司
無錫影速成立與 2015 年 1 月,影速公司是由中科院微電子研究所聯(lián)合業(yè)內(nèi)資深技術(shù)團(tuán)隊、產(chǎn)業(yè)基金共同發(fā)起成立的專業(yè)微電子裝備高科技企業(yè)。影速公司已成功研制用于半導(dǎo)體領(lǐng)域的激光直寫 / 制版光刻設(shè)備、國際首臺雙臺面高速激光直接成像連線設(shè)備(LDI),已經(jīng)實現(xiàn)最高 200nm 的量產(chǎn)。
?

無錫影速專利主要發(fā)明人

?

國內(nèi)外光刻機(jī)發(fā)展差距
從數(shù)據(jù)上來看,國外光刻機(jī)龍頭 ASML 與國內(nèi)佼佼者們之間的技術(shù)差距巨大。盡管如此,但我們也在努力追趕中。

5 月 24 日“極大規(guī)模集成電路制造裝備與成套工藝”專項(02 專項)項目“極紫外光刻膠材料與實驗室檢測技術(shù)研究”完成了 EUV 光刻膠關(guān)鍵材料的設(shè)計、制備和合成工藝研究、配方組成和光刻膠制備、實驗室光刻膠性能的初步評價裝備的研發(fā),達(dá)到了任務(wù)書中規(guī)定的材料和裝備的考核指標(biāo)。項目共申請發(fā)明專利 15 項(包括國際專利 5 項),截止到目前,共獲得授權(quán)專利 10 項(包括國際專利授權(quán) 3 項)。

中國科學(xué)院大學(xué)微電子學(xué)院與中芯國際集成電路制造有限公司在產(chǎn)學(xué)研合作中也取得新進(jìn)展,成功在光刻工藝模塊中建立了極坐標(biāo)系下規(guī)避顯影缺陷的物理模型。通過該模型可有效減小浸沒式光刻中的顯影缺陷,幫助縮短顯影研發(fā)周期,節(jié)省研發(fā)成本,為確定不同條件下最優(yōu)工藝參數(shù)提供建議。該成果已在國際光刻領(lǐng)域期刊 Journal of Micro-Nanolithography MEMS and MOEMS 發(fā)表。

中國目前有 90 納米,用 90 納米的升級到 65 納米不難。但是 45 納米就是一個技術(shù)臺階了。45 納米的研發(fā)比 90 納米和 65 納米難很多。如果解決了 45 納米那個可以升級到 32 納米不難。但是下一步升級到 22 納米,不能直接 45 納米升級到 22 納米了。22 納米用到了很多新的技術(shù)。
?

中國 16 個重大專項中的 02 專項提出光刻機(jī)到 2020 年研發(fā)出 22 納米。2015 年出 45 納米的并且 65 納米的產(chǎn)業(yè)化。45 納米是目前主流的光刻機(jī)工藝,包括 32 納米的還有 28 納米基本都是在 45 納米的侵入深紫外光刻機(jī)上面改進(jìn)升級來的。所以中國掌握 45 納米的很重要。45 納米光刻機(jī)是一個很重要的臺階,達(dá)到這個水平后,在 45 納米光刻機(jī)上面進(jìn)行物鏡和偏振光升級可以達(dá)到 32 納米。

另外,用于光刻機(jī)的固態(tài)深紫外光源也在研發(fā),我國的光刻機(jī)研發(fā)是并行研發(fā)的,22 納米光刻機(jī)用到的技術(shù)也在研發(fā),用在 45 納米的升級上面。還有電子束直寫光刻機(jī),納米壓印設(shè)備,極紫外光刻機(jī)技術(shù)也在研發(fā)。對光刻膠升級,對折射液升級,并且利用套刻方法可以達(dá)到 22 納米到 14 納米甚至 10 納米的水平。相應(yīng)的升級的用的光刻膠,第 3 代折射液等也在相應(yīng)的研發(fā)中。

所以,目前單純從技術(shù)層面上看,全球光刻設(shè)備的格局是:ASML 一家獨占鰲頭,成為唯一的一線供應(yīng)商;Nikon 憑借多年技術(shù)積累,勉強保住二線供應(yīng)商地位;而 Canon 只能屈居三線;SMEE 作為后起之秀,暫時勉強也擠入三線的檔次,但由于光刻設(shè)備對技術(shù)積累和供應(yīng)鏈要求極高,未來要想打入二線則非常艱難,短期內(nèi)難有實質(zhì)性突破。目前看來,如果沒有特別原因,這一格局在未來的很長時間里都不會有任何太大變化。
?

上表為小編通過三家上市公司財報統(tǒng)計的 2017 年度光刻機(jī)銷售數(shù)量。由數(shù)據(jù)可知,幾家在市場份額的格局上幾乎和技術(shù)格局一致,唯一的一些區(qū)別是 Canon 在面板領(lǐng)域擁有較大市場份額,使得它在低端光刻設(shè)備上有相對較大的銷售量和份額。
?

小結(jié)
中國目前的光刻機(jī)技術(shù)還處在探索階段,當(dāng)然一些小成就還是有的,這并不能作為我們高枕無憂的資本,我們與國外先進(jìn)技術(shù)還有很大的差距。

尤其是極紫外光刻技術(shù)方面,國外技術(shù)封鎖嚴(yán)重,而國內(nèi)想要研究又面臨這難度大,瓶頸多等困擾??上驳氖?,去年 “極大規(guī)模集成電路制造裝備及成套工藝”國家科技重大專項“極紫外光刻關(guān)鍵技術(shù)研究”項目順利通過驗收。突破了制約我國極紫外光刻發(fā)展的超高精度非球面加工與檢測、極紫外多層膜、投影物鏡系統(tǒng)集成測試等核心單元技術(shù),成功研制了波像差優(yōu)于 0.75 nm RMS 的兩鏡 EUV 光刻物鏡系統(tǒng),構(gòu)建了 EUV 光刻曝光裝置,國內(nèi)首次獲得 EUV 投影光刻 32 nm 線寬的光刻膠曝光圖形。

這對于我國極紫外光刻技術(shù)的研發(fā)來說是很重要的一步,但也只是小小的一步,我國還有很長的路要走。冰凍三尺非一日之寒,腳踏實地,潛心研究,未來會告訴我們答案。



與非網(wǎng)原創(chuàng)內(nèi)容,未經(jīng)許可,不得轉(zhuǎn)載!

相關(guān)推薦

電子產(chǎn)業(yè)圖譜