加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

2nm制程:四強(qiáng)爭(zhēng)霸,誰(shuí)是炮灰?

06/03 09:55
3712
閱讀需 16 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

距離2nm制程量產(chǎn)還有一年左右的時(shí)間,當(dāng)下,對(duì)于臺(tái)積電、三星和英特爾這三大玩家來(lái)說(shuō),都進(jìn)入了試產(chǎn)準(zhǔn)備期,新一輪先進(jìn)制程市場(chǎng)爭(zhēng)奪戰(zhàn)一觸即發(fā)。

經(jīng)過(guò)多年的技術(shù)積累、發(fā)展和追趕,在工藝成熟度和良率方面,三星、英特爾與臺(tái)積電的差距越來(lái)越小了,在2nm時(shí)代,臺(tái)積電依然占據(jù)優(yōu)勢(shì)地位的局面可以預(yù)見(jiàn),但與5nm和3nm時(shí)期相比,市場(chǎng)競(jìng)爭(zhēng)恐怕會(huì)激烈得多。

三大玩家的2nm技術(shù)路線

在發(fā)展2nm制程技術(shù)方面,臺(tái)積電、三星和英特爾既有相同點(diǎn),也有不同之處,總體來(lái)看,臺(tái)積電相對(duì)穩(wěn)健,英特爾相對(duì)激進(jìn),三星則處于居中位置。

首先看臺(tái)積電。

晶圓代工龍頭的2nm制程將包括N2、N2P和N2X三個(gè)版本,預(yù)計(jì)2025下半年開(kāi)始量產(chǎn)其第一代GAAFET N2節(jié)點(diǎn)芯片,2nm的下一個(gè)版本N2P將在 2026年底量產(chǎn)。與英特爾不同,臺(tái)積電的這兩個(gè)版本2nm工藝沒(méi)有使用背面供電技術(shù),不過(guò),整個(gè)N2系列將增加臺(tái)積電新的NanoFlex功能,該功能允許芯片設(shè)計(jì)人員在同一模塊中匹配來(lái)自不同庫(kù)(高性能、低功耗、不同面積)的單元,以提高性能或降低功耗。

為了控制成本,臺(tái)積電將使用GAAFET晶體管架構(gòu),而不是傳說(shuō)中的互補(bǔ)式場(chǎng)效應(yīng)晶體管(CFET)。

臺(tái)積電的3nm工藝已經(jīng)支持一種稱為FinFlex的功能,它也允許設(shè)計(jì)人員使用來(lái)自不同庫(kù)的單元,不過(guò),N2依賴于GAAFET納米片晶體管,使NanoFlex提供了一些額外的控制能力,可以優(yōu)化性能和功率的通道寬度。

N3E相比,臺(tái)積電預(yù)計(jì)N2在相同功率下可將性能提高10%~15%,或在相同頻率和復(fù)雜性下將功耗降低25%~30%。

N2之后將是性能增強(qiáng)型N2P,以及2026年的電壓增強(qiáng)型N2X。盡管臺(tái)積電曾表示N2P將在2026年增加背面供電網(wǎng)絡(luò)(BSPDN),但看起來(lái)情況并非如此,N2P將使用常規(guī)供電電路,具體原因尚不清楚。

N2仍有望采用與電源相關(guān)的創(chuàng)新,也就是超高性能金屬絕緣體金屬(SHPMIM)電容器,這種電容器可以提高電源穩(wěn)定性,SHPMIM電容器的容量密度是臺(tái)積電現(xiàn)有超高密度金屬絕緣體金屬(SHDMIM)電容器的兩倍多。

下面看三星。

三星也將于2025年量產(chǎn)2nm(SF2)制程芯片,然后于2026年采用背面供電技術(shù)。與3nm工藝(SF3)相比,三星的2nm工藝性能提升12%,功率效率提升25%,面積減少5%。

相對(duì)于臺(tái)積電而言,三星公布的2nm制程工藝技術(shù)細(xì)節(jié)不多,不知道量產(chǎn)時(shí)是否會(huì)有什么大招放出。

最后看英特爾。

2024年初,在發(fā)布其“四年內(nèi)五個(gè)節(jié)點(diǎn)”計(jì)劃(稱為“5N4Y”)時(shí),英特爾介紹了其20A(2nm級(jí))制程技術(shù),該工藝計(jì)劃在2025年初投入生產(chǎn)。

據(jù)悉,英特爾的20A引入了RibbonFET GAA晶體管和PowerVia背面供電技術(shù),而之后的升級(jí)版本18A則將改進(jìn)這兩種技術(shù)。該公司是背面供電技術(shù)的積極倡導(dǎo)者,并在不斷改進(jìn),因此,預(yù)計(jì)英特爾也將會(huì)在2nm制程技術(shù)領(lǐng)域有較多創(chuàng)新。

英特爾將比臺(tái)積電早兩年使用背面供電技術(shù),此外,在使用GAA晶體管架構(gòu)方面,也比臺(tái)積電早一年半的時(shí)間。但是,是否可以將這些新技術(shù)轉(zhuǎn)化成實(shí)實(shí)在在的量產(chǎn)優(yōu)勢(shì),關(guān)鍵還是要看英特爾能否將PPA(性能、功耗、面積)優(yōu)化到位。

數(shù)字游戲

2024年3月,三星電子通知客戶和合作伙伴,宣布將新版第二代3nm工藝改名為2nm。三星稱,該工藝將在今年年底量產(chǎn)。

一位IC設(shè)計(jì)業(yè)內(nèi)人士表示:“我們收到了三星電子的通知,他們正在將第二代3nm制程更名為2nm。我們?nèi)ツ昱c三星電子代工廠簽訂的第二代3nm制程合同也將更名為2nm,因此,我們需要在不久的將來(lái)重寫合同?!?/p>

有業(yè)內(nèi)人士表示,三星第二代3nm制程減小了晶體管尺寸,很大程度上是為了滿足營(yíng)銷的需要。

臺(tái)積電在IEEE國(guó)際電子元件會(huì)議(IEDM)上揭露,將繼2nm后,推出1.4nm制程,且延續(xù)將2nm正式命名為A20的做法,1.4nm被命名為A14,預(yù)計(jì)2027~2028年量產(chǎn)。

三星緊追臺(tái)積電不放,宣布計(jì)劃2027年量產(chǎn)1.4nm制程。

英特爾對(duì)制程的命名則一改多年前的“倔強(qiáng)”,不再固守摩爾定律的絕對(duì)規(guī)范,而是考慮到更多的商業(yè)化拓展需求,讓制程節(jié)點(diǎn)聽(tīng)起來(lái)更接近市場(chǎng)和客戶習(xí)慣。因此,該公司CEO基辛格在主持英特爾創(chuàng)新日臺(tái)北場(chǎng)時(shí)重申,Intel 7已進(jìn)入量產(chǎn)階段,Intel 4現(xiàn)已量產(chǎn)準(zhǔn)備就緒,Intel 3也會(huì)按計(jì)劃于今年底推出。他在現(xiàn)場(chǎng)展示了以Intel 20A試產(chǎn)出的晶圓,預(yù)計(jì)將用于2025年推出的Arrow Lake處理器,Intel 18A也將有望在2025下半年進(jìn)入量產(chǎn)階段。

目前,對(duì)于5nm及以下的先進(jìn)制程工藝,出現(xiàn)了越來(lái)越多的“數(shù)字游戲”,這也是競(jìng)爭(zhēng)壓力下的產(chǎn)物,由于臺(tái)積電的先進(jìn)制程在業(yè)內(nèi)深入人心,要想提升競(jìng)爭(zhēng)力,獲得更多市場(chǎng)份額,就不得不順應(yīng)市場(chǎng)需求和客戶習(xí)慣,才有助于拓展市場(chǎng)空間。

2nm制程量產(chǎn)的挑戰(zhàn)

2025年是2nm制程量產(chǎn)元年,真正的較量恐怕會(huì)出現(xiàn)在2026年。對(duì)于臺(tái)積電、三星、英特爾,以及日本的Rapidus來(lái)說(shuō),依然需要解決各自的問(wèn)題,才能將量產(chǎn)工作鋪開(kāi)。

臺(tái)積電的高成本

International Business Strategies(IBS)的分析師認(rèn)為,與3nm處理器相比,2nm芯片成本將增長(zhǎng)約50%。

IBS估計(jì),一個(gè)產(chǎn)能約為每月50000片晶圓(WSPM)的2nm產(chǎn)線的成本約為280億美元,而具有類似產(chǎn)能的3nm產(chǎn)線的成本約為200億美元。增加的成本,很大一部分來(lái)自于EUV光刻設(shè)備數(shù)量的增加,這將大大增加每片晶圓和每個(gè)芯片的生產(chǎn)成本,而能夠接受如此高成本芯片的廠商,只有蘋果、AMD、英偉達(dá)高通等少數(shù)幾家。

IBS估計(jì),2025~2026年,使用臺(tái)積電N2工藝加工單個(gè)12英寸晶圓將花費(fèi)蘋果約30000美元,而基于N3工藝的晶圓成本約為20000美元。

隨著對(duì)AI處理器需求的增加,英偉達(dá)在臺(tái)積電收入中的份額會(huì)在2024年增加,該公司已經(jīng)預(yù)訂了臺(tái)積電晶圓代工和CoWoS封裝產(chǎn)能,以確保其用于AI的優(yōu)質(zhì)處理器的穩(wěn)定供應(yīng)。今年,AMD在臺(tái)積電總營(yíng)收中的份額有望超過(guò)10%。

正是有蘋果、英偉達(dá)、AMD等大客戶下單,臺(tái)積電才會(huì)大規(guī)模投資最先進(jìn)制程,否則,像2nm這樣燒錢的制程產(chǎn)線,是很難持續(xù)支撐下去的。但是,就目前的情況來(lái)看,臺(tái)積電對(duì)2024全年的晶圓代工市場(chǎng)預(yù)判較為保守,認(rèn)為之前的預(yù)估過(guò)于樂(lè)觀了,之前預(yù)估該行業(yè)年增長(zhǎng)20%左右,現(xiàn)在看來(lái),增長(zhǎng)率可能只有10%左右。在這種情況下,雖然有大客戶的訂單,也必須控制一下成本和資本支出了。

目前,臺(tái)積電正在全方位的控制成本,包括EUV設(shè)備的支出,電能的節(jié)省等。雖然在2nm制程成本方面,其它幾家廠商也會(huì)面臨成本問(wèn)題,但為了追趕臺(tái)積電,三星和英特爾似乎在成本方面沒(méi)有臺(tái)積電那么敏感。另外,由于臺(tái)積電要在美國(guó)新建至少兩座先進(jìn)制程晶圓廠,這給它帶來(lái)了很多額外的成本壓力。因此,臺(tái)積電的2nm制程產(chǎn)線必須精打細(xì)算。

三星的良率問(wèn)題

對(duì)于晶圓代工來(lái)說(shuō),良率非常重要,它直接影響生產(chǎn)成本和客戶認(rèn)可度。

自從進(jìn)入5nm制程時(shí)代以來(lái),良率一直是三星晶圓代工業(yè)務(wù)所面對(duì)的最大問(wèn)題,特別是在3nm制程節(jié)點(diǎn)上,三星率先引入了全新的GAA架構(gòu)晶體管,與以往使用的FinFET晶體管有較大區(qū)別,也使良率問(wèn)題進(jìn)一步放大。

據(jù)Notebookcheck報(bào)道,三星的3nm工藝良率在50%附近徘徊,依然有一些問(wèn)題需要解決。三星2023年曾表示,其3nm工藝量產(chǎn)后的良率已達(dá)到60%以上,不過(guò),現(xiàn)在看來(lái),當(dāng)時(shí)過(guò)于樂(lè)觀了。

今年2月,據(jù)韓媒報(bào)道,三星新版3nm工藝存在重大問(wèn)題,試產(chǎn)芯片均存在缺陷,良率為0%。報(bào)道指出,采用3nm工藝的Exynos 2500芯片因缺陷未能通過(guò)質(zhì)量測(cè)試,導(dǎo)致后續(xù) Galaxy Watch 7的芯片組也無(wú)法量產(chǎn)。報(bào)道指出,由于Exynos 2500芯片試產(chǎn)失敗,三星推遲了大規(guī)模生產(chǎn),目前,尚不清楚是否能夠及時(shí)解決良率問(wèn)題。

為了追趕臺(tái)積電,三星的3nm制程工藝采取了比較激進(jìn)的策略,主要體現(xiàn)在GAA晶體管架構(gòu)上,臺(tái)積電的3nm依然采用FinFET。2nm才會(huì)轉(zhuǎn)向GAA晶體管,激進(jìn)的結(jié)果就是要在良率方面付出一些代價(jià)。
如果3nm的良率問(wèn)題不能解決,2nm恐怕會(huì)出現(xiàn)同樣的問(wèn)題。

英特爾的客戶

對(duì)于晶圓代工業(yè)新進(jìn)入者,英特爾面臨的最大問(wèn)題當(dāng)然是客戶認(rèn)可度,特別是像2nm這樣先進(jìn)的制程工藝,對(duì)于在10nm以下晶圓代工市場(chǎng)鮮有量產(chǎn)經(jīng)驗(yàn)和出貨量的廠商來(lái)說(shuō),在與臺(tái)積電和三星的競(jìng)爭(zhēng)中,如何搶奪客戶,特別是有較大出貨量需求的客戶(2nm成本很高,若出貨量小,根本不可能盈利),是一大挑戰(zhàn)。

Rapidus的挑戰(zhàn)

日本Rapidus晶圓廠的2nm制程工藝源自IBM。Rapidus派遣工程師前往IBM在紐約的奧爾巴尼納米技術(shù)中心進(jìn)行研發(fā),并與IBM以外的合作伙伴合作開(kāi)發(fā)2nm工藝,目標(biāo)是在2020年代后期進(jìn)行大規(guī)模生產(chǎn)。

與英特爾類似,Rapidus是晶圓代工業(yè)的新進(jìn)入者,大規(guī)模生產(chǎn)工藝研發(fā)是從零開(kāi)始的,客戶認(rèn)可度是一大挑戰(zhàn)。

假設(shè)已經(jīng)投資Rapidus的豐田汽車、電裝、NTT、IBM等公司將他們需要的先進(jìn)制程芯片交由Rapidus代工生產(chǎn),但是,這些廠商能有多少2nm制程芯片需求?而將先進(jìn)制程芯片外包給臺(tái)積電、三星等晶圓代工廠的客戶,如蘋果、高通、AMD、英偉達(dá)和聯(lián)發(fā)科,才是出貨量大戶,他們能否選擇Rapidus,關(guān)鍵在于這些大客戶能夠充分了解并認(rèn)可Rapidus的工藝水平,以及所創(chuàng)造的附加值,如果不能的話,他們很難將訂單從臺(tái)積電和三星那里轉(zhuǎn)出。
另外,僅僅在奧爾巴尼學(xué)到了IBM的2nm工藝,并不意味著可以直接將其應(yīng)用于Rupidus晶圓廠的大規(guī)模生產(chǎn),有很多工程問(wèn)題需要解決,這不是一朝一夕的事情。

還有一個(gè)問(wèn)題是Rapidus能獲得多少EUV設(shè)備,這對(duì)2nm制程芯片量產(chǎn)至關(guān)重要。ASML在2022年的EUV光刻設(shè)備出貨量約為55臺(tái),2023年的產(chǎn)能提高到60臺(tái)以上,到2025年可達(dá)到90臺(tái)左右。但是,隨著制程工藝的特征尺寸小越來(lái)越小,EUV光刻的層數(shù)會(huì)持續(xù)增加,其

它幾家晶圓廠會(huì)持續(xù)爭(zhēng)奪EUV設(shè)備,Rapidus能得到多少呢?

結(jié)語(yǔ)

據(jù)韓媒報(bào)道,臺(tái)積電總裁魏哲家沒(méi)有出席23日在臺(tái)北舉行的臺(tái)積電2024年技術(shù)論壇,是因?yàn)樗巴鶜W洲秘密訪問(wèn)ASML荷蘭總部和德國(guó)工業(yè)激光大廠TRUMPF。

為了沖刺先進(jìn)制程晶圓代工,英特爾已成為ASML首臺(tái)最新型High-NA EUV(高數(shù)值孔徑EUV光刻機(jī))的買家。臺(tái)積電高層原本表示,其2nm和A16制程節(jié)點(diǎn)并不需要High-NA EUV,因?yàn)樗F了。但據(jù)BusinessKorea報(bào)道,臺(tái)積電總裁魏哲家這次秘密飛往荷蘭,是與ASML商討EUV設(shè)備事宜。

雖然魏哲家的訪歐行程是保密的,但ASML新任CEO富凱(Christophe Fouquet)和TRUMPF公司CEO卡穆勒(Nicola Leibinger-Kammüller)都在社群媒體上曝光了魏哲家到訪的消息。富凱直言,ASML向魏哲家介紹了公司的最新技術(shù)與產(chǎn)品,包括High-NA EUV設(shè)備將如何實(shí)現(xiàn)未來(lái)半導(dǎo)體的微制程工藝技術(shù)。

這可以從一個(gè)側(cè)面反映出臺(tái)積電、英特爾和三星爭(zhēng)奪新一代光刻設(shè)備的競(jìng)爭(zhēng)在升溫,2nm及以下先進(jìn)制程的競(jìng)賽已經(jīng)開(kāi)打。

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
N2510-6002-RB 1 3M Interconnect Board Connector, 10 Contact(s), 2 Row(s), Male, Straight, 0.1 inch Pitch, Solder Terminal, Locking, Black Insulator, Receptacle, ROHS COMPLIANT

ECAD模型

下載ECAD模型
$1.24 查看
CRCW08050000Z0EAC 1 Vishay Intertechnologies Fixed Resistor, Metal Glaze/thick Film, 0.125W, 0ohm, Surface Mount, 0805, CHIP

ECAD模型

下載ECAD模型
$0.03 查看
SRR1208-270ML 1 Bourns Inc General Purpose Inductor, 27uH, 20%, 1 Element, Ferrite-Core, SMD, 5050, ROHS COMPLIANT

ECAD模型

下載ECAD模型
$0.8 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

公眾號(hào):半導(dǎo)體產(chǎn)業(yè)縱橫。立足產(chǎn)業(yè)視角,提供及時(shí)、專業(yè)、深度的前沿洞見(jiàn)、技術(shù)速遞、趨勢(shì)解析,鏈接產(chǎn)業(yè)資源,構(gòu)建IC生態(tài)圈,賦能中國(guó)半導(dǎo)體產(chǎn)業(yè),我們一直在路上。