加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

微導(dǎo)納米黎微明:讓ALD技術(shù)充分發(fā)揮前瞻性和共性技術(shù)的作用

2023/11/05
2667
閱讀需 5 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

| ALD技術(shù)是當(dāng)下最主流的薄膜沉積技術(shù)之一

10月13-14日,由張江高科、芯謀研究聯(lián)合主辦的第九屆張江高科·芯謀研究集成電路產(chǎn)業(yè)領(lǐng)袖峰會(huì)在上海浦東張江召開,導(dǎo)納米CTO黎微明博士在次日舉辦的設(shè)備材料分論壇中以《ALD技術(shù)在先進(jìn)半導(dǎo)體芯片的應(yīng)用及國產(chǎn)化展望》為主題發(fā)表演講。

器件結(jié)構(gòu)逐漸愈來愈復(fù)雜,深寬比越來越大,驅(qū)動(dòng)著薄膜沉積技術(shù)的進(jìn)步。按工藝原理的不同,薄膜沉積可分為物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD),以及電鍍等等。進(jìn)入到2000年以后,邏輯芯片存儲(chǔ)芯片的制造工藝難度增加,傳統(tǒng)的PVD和CVD難以滿足市場需求,ALD(原子層沉積)技術(shù)作為一種新型的沉積技術(shù)開始受到行業(yè)關(guān)注。同時(shí),全球ALD技術(shù)在基礎(chǔ)研究方面發(fā)展提速,相關(guān)知識(shí)產(chǎn)權(quán)以及研發(fā)人員數(shù)量快速增加,讓ALD技術(shù)成為了當(dāng)下最主流的薄膜沉積技術(shù)之一。

黎微明博士指出,傳統(tǒng)的PVD和CVD在鍍膜方面具有局限性。ALD技術(shù)特點(diǎn)在于可在復(fù)雜形貌上,完成原子層精度控制能力的高質(zhì)量薄膜沉積工藝。具體來看,ALD技術(shù)具有三維共形性,可廣泛適用于不同形狀的基底。其次ALD技術(shù)是單層疊加生長,所以能夠保障成膜質(zhì)量、均勻性。第三,ALD技術(shù)可實(shí)現(xiàn)亞納米級的薄膜厚度控制。第四,ALD技術(shù)的摻雜工藝可以實(shí)現(xiàn)新功能薄膜復(fù)合材料的制備。

在后摩爾時(shí)代,ALD 技術(shù)在high-k材料、金屬柵、電容電極等工藝中均存在大量應(yīng)用,可被廣泛應(yīng)用于邏輯芯片、存儲(chǔ)芯片、化合物半導(dǎo)體等領(lǐng)域。隨著未來新興市場的發(fā)展,ALD需求會(huì)將進(jìn)一步擴(kuò)大。

ALD技術(shù)壁壘高。在2020年之前,全球高端ALD裝備市場份額中,國產(chǎn)裝備幾乎為零。2020年微導(dǎo)納米出貨了第一臺(tái)國產(chǎn)量產(chǎn)型high-k ALD設(shè)備,交付國內(nèi)芯片廠做驗(yàn)證,不到一年時(shí)間驗(yàn)證通過,實(shí)現(xiàn)了國產(chǎn)ALD設(shè)備“從0到1”的突破。經(jīng)過近兩年的發(fā)展,以微導(dǎo)納米為代表的國產(chǎn)高端ALD裝備在邏輯、存儲(chǔ)等領(lǐng)域均取得了不錯(cuò)的成績。

黎微明博士表示:“國產(chǎn)裝備、材料、下游企業(yè)的創(chuàng)新驅(qū)動(dòng)勢頭非常迅猛。微導(dǎo)納米也一直秉承著創(chuàng)新理念支持ALD技術(shù)的發(fā)展。”

在國產(chǎn)化創(chuàng)新方面,微導(dǎo)納米做了大量工作,是第一家將ALD技術(shù)規(guī)?;瘧?yīng)用于光伏領(lǐng)域的企業(yè)。微導(dǎo)納米提出了新的技術(shù)、新的設(shè)計(jì)理念,實(shí)現(xiàn)了PEALD設(shè)備的量產(chǎn)。PEALD技術(shù)是一種基于等離子體增強(qiáng)輔助反應(yīng)的ALD,在制備納米電子器件和光電器件方面有廣泛的應(yīng)用。

關(guān)鍵零部件的發(fā)展是ALD技術(shù)的重要環(huán)節(jié)。目前,關(guān)鍵零部件國產(chǎn)化正得到全產(chǎn)業(yè)鏈高度重視,快速發(fā)展,但短期內(nèi)滿足產(chǎn)業(yè)化要求具有挑戰(zhàn)。

黎微明博士指出,設(shè)備中的零部件國產(chǎn)化率提升,需要和下游客戶共同努力。下游客戶對國產(chǎn)化關(guān)鍵零部件的引入和評估,對于國產(chǎn)化率的提升有非常大的作用。

作為一家致力于ALD發(fā)展的本土企業(yè),微導(dǎo)納米計(jì)劃在半導(dǎo)體領(lǐng)域,未來能夠基本覆蓋4寸、6寸、8寸到12寸晶圓的市場需求;推動(dòng)現(xiàn)有制程技術(shù)國產(chǎn)化,引領(lǐng)國內(nèi)技術(shù)升級;推動(dòng)創(chuàng)新國內(nèi)技術(shù)迭代,替代關(guān)鍵工藝技術(shù)國產(chǎn)化;在3D-IC、OLED封裝功率半導(dǎo)體等方面,希望突破全球技術(shù)市場,搶占市場發(fā)展制高點(diǎn)。

黎微明博士總結(jié)道:“ALD 技術(shù)本土化以及在一些關(guān)鍵行業(yè)領(lǐng)域的最新進(jìn)展表明,IC制造所需國產(chǎn)ALD裝備正在逐漸實(shí)現(xiàn)產(chǎn)業(yè)化。在新時(shí)期,國內(nèi)相關(guān)企業(yè)要通過努力創(chuàng)新,抓住發(fā)展機(jī)遇,讓ALD技術(shù)能夠充分發(fā)揮其前瞻性和共性技術(shù)的作用。未來,國產(chǎn)化ALD技術(shù)在尖端半導(dǎo)體制造領(lǐng)域的產(chǎn)業(yè)化前景廣闊?!?/p>

推薦器件

更多器件
器件型號 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊 ECAD模型 風(fēng)險(xiǎn)等級 參考價(jià)格 更多信息
131C11019X 1 Conec Corporation Wire Terminal,
$2.72 查看
NRM6045T220MMRRV 1 TAIYO YUDEN General Purpose Inductor,
$0.56 查看
BTA204S-600D,118 1 NXP Semiconductors BTA204S-600D
$0.76 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

芯謀研究(ICwise),領(lǐng)先的半導(dǎo)體產(chǎn)業(yè)研究機(jī)構(gòu),中國專注在半導(dǎo)體領(lǐng)域的研究公司,以 "獨(dú)立 專業(yè) 權(quán)威"為原則,以"芯動(dòng)中國,謀略天下"為使命,致力于成為全球領(lǐng)先的科技行業(yè)研究機(jī)構(gòu)。