加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 01中國(guó)本土半導(dǎo)體設(shè)備發(fā)展?jié)摿Υ?/span>
    • ?02中國(guó)半導(dǎo)體設(shè)備業(yè)存在的問(wèn)題
    • ?03結(jié)語(yǔ)
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

國(guó)產(chǎn)半導(dǎo)體設(shè)備自給率再傳捷報(bào)的背后

2023/09/04
3961
閱讀需 13 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

作者:暢秋

最近,關(guān)于華為新款旗艦手機(jī)處理器是如何制造出來(lái)的消息頻頻登上新聞?lì)^條。確實(shí),自從2020年不能再?gòu)?a class="article-link" target="_blank" href="/tag/%E5%8F%B0%E7%A7%AF%E7%94%B5/">臺(tái)積電那里獲得先進(jìn)制程(7nm和5nm)產(chǎn)能以后,華為的高端芯片一直處于沉默狀態(tài),與此同時(shí),能否實(shí)現(xiàn)本土制造,成為了人們關(guān)注的焦點(diǎn)。然而,巧婦難為無(wú)米之炊,對(duì)于中國(guó)大陸各大晶圓代工廠來(lái)說(shuō),拿不到先進(jìn)半導(dǎo)體設(shè)備,就無(wú)法制造出高端芯片。在這種情況下,人們更加關(guān)注中國(guó)本土半導(dǎo)體設(shè)備廠商的發(fā)展動(dòng)態(tài),及其產(chǎn)品在本土晶圓廠的應(yīng)用情況。

從2023年前7個(gè)月的情況來(lái)看,中國(guó)本土半導(dǎo)體設(shè)備廠商一直在穩(wěn)步前進(jìn),它們的各種設(shè)備也在本土晶圓廠得到了更多應(yīng)用,雖然整體市占率與國(guó)際半導(dǎo)體設(shè)備大廠相比依然存在差距,但這種差距正在以肉眼可見(jiàn)的速度減小。

據(jù)廣發(fā)證券發(fā)展研究中心統(tǒng)計(jì),2023年7月,在統(tǒng)計(jì)樣本中(中芯國(guó)際、華虹半導(dǎo)體、上海華力、長(zhǎng)江存儲(chǔ)、合肥長(zhǎng)鑫、積塔半導(dǎo)體、燕東微電子、福建晉華、粵芯半導(dǎo)體、武漢新芯、合肥晶合),晶圓產(chǎn)線合計(jì)產(chǎn)生171項(xiàng)招標(biāo),招標(biāo)涉及的半導(dǎo)體設(shè)備主要包括光刻、涂膠顯影、擴(kuò)散、退火設(shè)備。2023年1-7月,統(tǒng)計(jì)樣本中的晶圓產(chǎn)線合計(jì)招標(biāo)469項(xiàng),其中,華虹半導(dǎo)體、中芯國(guó)際、積塔半導(dǎo)體的招標(biāo)量位居前三,整體而言,設(shè)備招標(biāo)以刻蝕、光刻、退火設(shè)備為主。

7月,積塔半導(dǎo)體招標(biāo)3項(xiàng),主要包括刻蝕、PVD設(shè)備和基建項(xiàng)目;華虹半導(dǎo)體招標(biāo)106項(xiàng),主要包括光刻、涂膠顯影、劃片機(jī)設(shè)備;燕東微電子招標(biāo)40項(xiàng),主要包括擴(kuò)散、刻蝕、氧化設(shè)備。

中標(biāo)方面,7月,以上統(tǒng)計(jì)樣本中的晶圓產(chǎn)線合計(jì)中標(biāo)6臺(tái)設(shè)備,以刻蝕、退火、研磨拋光、PVD設(shè)備居多。國(guó)產(chǎn)設(shè)備整體中標(biāo)比例約67%,其中,退火、PVD、刻蝕設(shè)備的國(guó)產(chǎn)中標(biāo)比例較高。1-7月,統(tǒng)計(jì)樣本中的晶圓產(chǎn)線合計(jì)中標(biāo)226臺(tái)設(shè)備,以氣液系統(tǒng)、量測(cè)、刻蝕設(shè)備居多;國(guó)產(chǎn)設(shè)備整體中標(biāo)比例約50%,其中,沉積、PECVD、外延、檢測(cè)設(shè)備的國(guó)產(chǎn)中標(biāo)比例較高。

7月,北方華創(chuàng)中標(biāo)4臺(tái)設(shè)備,主要包括退火、PVD、刻蝕設(shè)備,在對(duì)應(yīng)工藝環(huán)節(jié)的中標(biāo)比例分別為100%、100%、50%。1-7月,中國(guó)本土半導(dǎo)體設(shè)備廠商合計(jì)中標(biāo)72臺(tái)設(shè)備,萬(wàn)業(yè)企業(yè)、北方華創(chuàng)中標(biāo)量領(lǐng)先。其中,北方華創(chuàng)中標(biāo)產(chǎn)品主要包括刻蝕、退火、PVD、爐管、擴(kuò)散和外延設(shè)備,分別為11臺(tái)、2臺(tái)、1臺(tái)、1臺(tái)、1臺(tái)和1臺(tái);萬(wàn)業(yè)企業(yè)中標(biāo)產(chǎn)品主要包括氣液系統(tǒng)、沉積、PVD和CVD設(shè)備,分別為23臺(tái)、5臺(tái)、1臺(tái)和2臺(tái)。1-7月,中國(guó)本土半導(dǎo)體設(shè)備廠商合計(jì)中標(biāo)量在對(duì)應(yīng)工藝環(huán)節(jié)的中標(biāo)比例為38%,其中,北方華創(chuàng)的外延設(shè)備、拓荊科技的PECVD設(shè)備和萬(wàn)業(yè)企業(yè)的沉積設(shè)備在對(duì)應(yīng)工藝環(huán)節(jié)的中標(biāo)比例領(lǐng)先,分別為100%、100%、83%。

01中國(guó)本土半導(dǎo)體設(shè)備發(fā)展?jié)摿Υ?/strong>

可以看出,總體上,中國(guó)本土晶圓廠對(duì)外國(guó)半導(dǎo)體設(shè)備的依賴度依然較高,但在一些細(xì)分產(chǎn)品領(lǐng)域,中國(guó)本土設(shè)備的市占率已經(jīng)相當(dāng)可觀了,未來(lái)更值得期待。

在前道晶圓加工設(shè)備方面,中國(guó)本土自給率參差不齊,差異很大,薄膜沉積,涂膠顯影設(shè)備,光刻,離子注入這幾類設(shè)備自給率都很低,大都不超過(guò)5%,而刻蝕,熱處理,清洗設(shè)備的自給率稍高一些,在20%左右,但總體水平依然較為落后,相對(duì)而言,去膠設(shè)備的自給率很高,達(dá)到了90%。

刻蝕設(shè)備方面,北方華創(chuàng)和中微公司雖然在全球市場(chǎng)占比不高,但近些年進(jìn)步速度較快,中微公司的介質(zhì)刻蝕機(jī)已進(jìn)入臺(tái)積電5nm產(chǎn)線,北方華創(chuàng)擅長(zhǎng)ICP刻蝕,相關(guān)設(shè)備已經(jīng)進(jìn)入中芯國(guó)際產(chǎn)線驗(yàn)證階段。

8月初,中微公司董事長(zhǎng)兼總經(jīng)理尹志堯博士表示,到今年年底,大約80%的進(jìn)口刻蝕設(shè)備(現(xiàn)在受到限制)有望被國(guó)內(nèi)產(chǎn)品替代。尹志堯預(yù)計(jì),在未來(lái)幾個(gè)季度,中國(guó)本土CCP刻蝕設(shè)備市場(chǎng)自給率將達(dá)到60%,比截至2022年10月的25%市場(chǎng)份額大幅增加,該公司還在關(guān)注ICP刻蝕設(shè)備市場(chǎng),并計(jì)劃以幾乎同樣快的速度占有中國(guó)本土75%的市場(chǎng)份額。

薄膜沉積設(shè)備方面,拓荊科技是中國(guó)本土龍頭企業(yè),北方華創(chuàng)和中微公司也有相關(guān)產(chǎn)品,但市占率都很低。

涂膠顯影設(shè)備,東京電子占該市場(chǎng)近90%的份額,是絕對(duì)的行業(yè)龍頭,中國(guó)本土企業(yè)只有芯源微,市場(chǎng)影響力很小。

離子注入設(shè)備也是中國(guó)本土企業(yè)的短板,自給率只有2%左右,只有萬(wàn)業(yè)企業(yè)等少數(shù)幾家在生產(chǎn)該類設(shè)備。該市場(chǎng)幾乎被美國(guó)的應(yīng)用材料壟斷。

光刻機(jī)是中國(guó)最弱一環(huán),這方面,ASML是產(chǎn)業(yè)龍頭,特別是前道工序用到的EUV產(chǎn)品,是全球獨(dú)家供貨商,日本的Nikon和Canon分別占據(jù)全球13%和6%的市場(chǎng)份額,當(dāng)然,這兩家主要生產(chǎn)DUV光刻機(jī),沒(méi)有EUV。

據(jù)芯謀研究統(tǒng)計(jì),2020年,美國(guó)、日本、荷蘭三國(guó)占據(jù)中國(guó)半導(dǎo)體設(shè)備采購(gòu)市場(chǎng)86%的份額,2023年,這三國(guó)依然是中國(guó)市場(chǎng)前3名,占比仍高達(dá)83%。與3年前相比,中國(guó)本土晶圓廠給本土設(shè)備提供了很多機(jī)會(huì),但美日荷占比之和仍然超過(guò)80%,國(guó)際企業(yè)主導(dǎo)中國(guó)設(shè)備市場(chǎng)的格局沒(méi)有改變。

在2020-2023年間,中國(guó)本土半導(dǎo)體設(shè)備廠商的銷售額從9.9億美元增長(zhǎng)至33億美元,市場(chǎng)占比從7%增加到11%,銷售額達(dá)到3年前的3倍多,市場(chǎng)份額增幅高達(dá)57%,這無(wú)疑是巨大的進(jìn)步。本土設(shè)備需求井噴,幫助本土設(shè)備企業(yè)取得不小進(jìn)步。但是,我們的基數(shù)太小,亮麗成績(jī)的背后,是本土設(shè)備的市場(chǎng)占比只有11%的現(xiàn)實(shí)。因此,技術(shù)進(jìn)階還需要必要的成長(zhǎng)過(guò)程和時(shí)間。

總體來(lái)看,在前道設(shè)備的多數(shù)細(xì)分領(lǐng)域,中國(guó)本土設(shè)備企業(yè)的技術(shù)水平和市占率與美日歐廠商相比,有明顯差距,在美日歐陸續(xù)出臺(tái)先進(jìn)設(shè)備出口限制政策的情況下,要想實(shí)現(xiàn)先進(jìn)制程芯片的量產(chǎn),中國(guó)本土半導(dǎo)體設(shè)備廠商還要加倍努力。

?02中國(guó)半導(dǎo)體設(shè)備業(yè)存在的問(wèn)題

取得進(jìn)步的同時(shí),中國(guó)本土半導(dǎo)體設(shè)備業(yè)也存在著諸多問(wèn)題。特別是在國(guó)際壓力不斷加大,要求本土設(shè)備自給率快速提升的當(dāng)下,有些問(wèn)題會(huì)被放大,有的小問(wèn)題也逐漸凸顯出來(lái),且有進(jìn)一步擴(kuò)大的態(tài)勢(shì)。

8月初,尹志堯博士指出了中國(guó)本土半導(dǎo)體設(shè)備業(yè)存在的諸多問(wèn)題,這里我們總結(jié)一下,最為凸出的問(wèn)題體現(xiàn)在以下三方面:1、鉆研和探索精神不足,復(fù)制、抄襲現(xiàn)象還有不少;2、人才嚴(yán)重不足,形成了惡性競(jìng)爭(zhēng),挖人現(xiàn)象過(guò)多,給產(chǎn)業(yè)發(fā)展帶來(lái)的負(fù)面影響加劇;3、存在盲目和重復(fù)投資現(xiàn)象,造成資源浪費(fèi),內(nèi)耗過(guò)多。

做芯片研發(fā)需要有干做十年冷板凳的決心和毅力,做產(chǎn)業(yè)鏈上游的半導(dǎo)體設(shè)備,特別是中高端產(chǎn)品更是如此,因?yàn)樗婕暗募夹g(shù)更加高精尖,且是重資產(chǎn)投入,而且,與芯片設(shè)計(jì)相比,半導(dǎo)體設(shè)備研發(fā)和制造的復(fù)制、抄襲難度高很多,以這種方法拿到市場(chǎng)份額的難度就更大了,且存在諸多潛在風(fēng)險(xiǎn),從各方面看,都不是好的選擇。而在當(dāng)前的國(guó)際形勢(shì)下,更需要踏實(shí)工作,全身心投入研發(fā),以制造出有核心競(jìng)爭(zhēng)力半導(dǎo)體設(shè)備。

人才不足問(wèn)題短期內(nèi)幾乎無(wú)解,需要長(zhǎng)期培育和培養(yǎng),在供給量明顯增長(zhǎng)上來(lái)之前,現(xiàn)狀恐怕難以改變。

關(guān)于盲目和重復(fù)投資,造成資源浪費(fèi)的問(wèn)題,一部分原因是有些企業(yè)拿錢(補(bǔ)貼)比較容易,缺乏賬戶監(jiān)管和投資監(jiān)督機(jī)制,從而造成浪費(fèi)與內(nèi)耗。

除了以上問(wèn)題,中國(guó)本土半導(dǎo)體設(shè)備業(yè)還有其它一些短板,也在阻礙技術(shù)和產(chǎn)品水平的提升,需要增加這些方面的意識(shí),有針對(duì)性地去實(shí)踐,加以解決。

一個(gè)值得關(guān)注的問(wèn)題,就是與國(guó)際晶圓代工大廠的接觸和交流少。中國(guó)大陸多數(shù)半導(dǎo)體設(shè)備廠商主要向本土晶圓廠銷售產(chǎn)品,與臺(tái)積電和三星電子這樣的國(guó)際大廠的接觸很少,業(yè)務(wù)往來(lái)就更少了,使他們難以接觸和了解高水平晶圓廠建設(shè)的產(chǎn)線狀況和很多技術(shù)細(xì)節(jié),缺乏學(xué)習(xí)機(jī)會(huì)、交易技巧,以及高水平的實(shí)戰(zhàn)機(jī)會(huì)。如果半導(dǎo)體設(shè)備廠商能與國(guó)際大客戶保持長(zhǎng)期、密切的合作關(guān)系,為它們提供長(zhǎng)期服務(wù),包括機(jī)器的安裝、校準(zhǔn)、維護(hù)和維修,則可以獲得大量寶貴的專業(yè)知識(shí)共享,幫助設(shè)備廠商取得技術(shù)進(jìn)步,此外,這樣的合作可以提升每臺(tái)設(shè)備的平均利潤(rùn)率。反之,則生產(chǎn)出來(lái)的設(shè)備很難進(jìn)入更高水平的晶圓廠,難以形成或提升自身產(chǎn)品的附加值。

?03結(jié)語(yǔ)

近幾年,在中國(guó)本土電子半導(dǎo)體產(chǎn)業(yè)鏈各環(huán)節(jié)企業(yè)的共同努力下,本土中高端芯片制造水平實(shí)現(xiàn)了較為快速地提升,其中,本土半導(dǎo)體設(shè)備廠商功不可沒(méi)。然而,必須看到,在先進(jìn)制程芯片制造的關(guān)鍵環(huán)節(jié),特別是光刻,本土半導(dǎo)體設(shè)備還難以挑大梁。

在國(guó)際貿(mào)易形勢(shì)長(zhǎng)期不利于我們的情況下,除了加強(qiáng)自研的決心和力度,踏下心來(lái),攻堅(jiān)克難,不斷提升高端半導(dǎo)體設(shè)備的自給率,別無(wú)他法。

與此同時(shí),在學(xué)習(xí)國(guó)際先進(jìn)技術(shù)和經(jīng)驗(yàn)的同時(shí),我們或許也可以開(kāi)辟新路,摸索出更適合中國(guó)本土半導(dǎo)體設(shè)備業(yè)發(fā)展的技術(shù)和路徑。一些業(yè)內(nèi)人士提出,可以改變一下半導(dǎo)體制造業(yè)的發(fā)展思路,現(xiàn)在的做法是使集成電路上的晶體管越來(lái)越密集,如果依然按照這樣的發(fā)展路徑與國(guó)際芯片制造和半導(dǎo)體設(shè)備大廠競(jìng)爭(zhēng)的話,很難追趕上它們。他們認(rèn)為,可以采取類似于中國(guó)大力發(fā)展電動(dòng)汽車的成功模式,重新思考中國(guó)如何通過(guò)關(guān)注下一個(gè)芯片制造時(shí)代可能出現(xiàn)的新樣式,來(lái)趕超傳統(tǒng)國(guó)際大廠。

今年上半年,中國(guó)科學(xué)院的兩位資深學(xué)者發(fā)表了一篇文章,主張將精力重新集中在新技術(shù)的研發(fā)上,而不是模仿國(guó)外的現(xiàn)有技術(shù)。作者認(rèn)為,通過(guò)積累專利并管理其在海外的使用,我們可以在全球芯片供應(yīng)鏈中設(shè)置自己的護(hù)城河,如果能實(shí)現(xiàn)的話,目前面對(duì)的這些技術(shù)痛點(diǎn)就不攻自破了。

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
SN74ALVC164245DL 1 Texas Instruments 16-Bit 2.5-V to 3.3-V/3.3-V To 5-V Level Shifting Transceiver With 3-State Outputs 48-SSOP -40 to 85

ECAD模型

下載ECAD模型
$4.33 查看
SN74LVC1G14DCKR 1 Texas Instruments Single 1.65-V to 5.5-V inverter with Schmitt-Trigger inputs 5-SC70 -40 to 125

ECAD模型

下載ECAD模型
$0.27 查看
MC74HC595ADTG 1 Rochester Electronics LLC HC/UH SERIES, 8-BIT RIGHT SERIAL IN PARALLEL OUT SHIFT REGISTER, TRUE OUTPUT, PDSO16, HALOGEN FREE AND ROHS COMPLIANT, TSSOP-16
$0.62 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

公眾號(hào):半導(dǎo)體產(chǎn)業(yè)縱橫。立足產(chǎn)業(yè)視角,提供及時(shí)、專業(yè)、深度的前沿洞見(jiàn)、技術(shù)速遞、趨勢(shì)解析,鏈接產(chǎn)業(yè)資源,構(gòu)建IC生態(tài)圈,賦能中國(guó)半導(dǎo)體產(chǎn)業(yè),我們一直在路上。