加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長期合作伙伴
立即加入
  • 正文
    • Xilinx FPGA Vivado 開發(fā)流程
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請入駐 產(chǎn)業(yè)圖譜

Xilinx FPGA Vivado 開發(fā)流程

2023/02/21
2337
閱讀需 7 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

作者:李西銳??校對:陸輝

大俠好,歡迎來到FPGA技術(shù)江湖。本系列將帶來FPGA的系統(tǒng)性學(xué)習(xí),從最基本的數(shù)字電路基礎(chǔ)開始,最詳細(xì)操作步驟,最直白的言語描述,手把手的“傻瓜式”講解,讓電子、信息、通信類專業(yè)學(xué)生、初入職場小白及打算進(jìn)階提升的職業(yè)開發(fā)者都可以有系統(tǒng)性學(xué)習(xí)的機(jī)會。

系統(tǒng)性的掌握技術(shù)開發(fā)以及相關(guān)要求,對個人就業(yè)以及職業(yè)發(fā)展都有著潛在的幫助,希望對大家有所幫助。本次帶來Vivado系列,Vivado開發(fā)軟件開發(fā)設(shè)計(jì)流程。話不多說,上貨。

Xilinx FPGA Vivado 開發(fā)流程

在做任何設(shè)計(jì)之前,我們都少不了一個工作,那就是新建工程,我們設(shè)計(jì)的一些操作,必須在工程下完成,那么接下來就向大家介紹一下新建工程的步驟。那么我以簡單的二輸入與門為例向大家介紹一下操作步驟。

在后續(xù)的開發(fā)設(shè)計(jì)中,我們會做各種各樣的設(shè)計(jì)。每個設(shè)計(jì)都有自己的名字,在上述文件內(nèi),用實(shí)驗(yàn)的名字命名一個文件夾。名字的話一定要帶有某種含義,不建議隨便給個字母序列當(dāng)做名字。例如:第一個要做的二輸入與門的設(shè)計(jì),命名為and_gate2_1。

做好設(shè)計(jì)前準(zhǔn)備后,我們開始新建工程。

* 新建工程

雙擊打開Vivado。

在Quick Start中,我們選擇Creat Project。打開新建工程向?qū)?,如下?/p>

此界面為新建工程引導(dǎo),此步驟沒有可以設(shè)置的內(nèi)容,所以直接點(diǎn)擊NEXT。

在此界面,我們第一步,需要給我們的工程起一個名字,在此我們起名為and_gate2_1。在第二步設(shè)置工程存放路徑,要求無中文路徑。第三步,如果你指定路徑位置沒有對應(yīng)的and_gate2_1文件夾,那么我們就可以勾選上,軟件會自動幫我們新建。由于我已經(jīng)新建過了文件夾,所以此處我沒有勾選此選項(xiàng)。設(shè)置好之后,點(diǎn)擊NEXT。

此界面我們設(shè)置工程類型,選擇RTL Project。在這個選項(xiàng)下面,還有另外的一個選項(xiàng)。這個是讓我們選擇是否創(chuàng)建源文件。圖示中選擇不新建,在建完工程之后我們在新建源文件。繼續(xù)點(diǎn)擊NEXT。

在這個步驟里面,我們選擇對應(yīng)開發(fā)板芯片型號。我以SANXIN-B04為例,芯片型號為XC7A35TFGG484-2。可以在搜索框里直接輸入,在下面的選項(xiàng)里面我們選擇第一個,如圖所示。選中之后點(diǎn)擊NEXT。

最后一步,為工程的信息展示。確認(rèn)信息無誤后,點(diǎn)擊Finish。至此,我們的工程就新建完成了。

我們把整個界面分為5個區(qū)域,如上圖所示。

1、菜單;

軟件的常用功能全在此區(qū)域,方便我們進(jìn)行后續(xù)操作。每一項(xiàng)的作用,會在后續(xù)的講解中為大家介紹。

2、源文件;

新建文件可以在此區(qū)域,在這個窗口下面,有三個選項(xiàng),我們在第一個選項(xiàng)中可以看到代碼文件的層次結(jié)構(gòu)。

3、屬性;

窗口會顯示所選內(nèi)容的具體信息

4、信息;

展示任務(wù)進(jìn)度,編譯信息等內(nèi)容。

5、報(bào)告;

展示工程信息以及狀態(tài)。此外編寫代碼也是在此區(qū)域。

通過以上的介紹,我們明白了各個區(qū)域的作用,接下來我們就新建文件開始編寫代碼:

在源文件窗口,點(diǎn)擊+號。如下圖:

三個選項(xiàng),第一個是添加或者新建管腳約束文件,第二個是添加或者新建源文件,第三個是添加或者新建仿真文件。那么我們選擇第二個選項(xiàng)。

選擇好之后,點(diǎn)擊NEXT。然后按照上圖中所示,點(diǎn)擊Creat File;

在File name一項(xiàng)中,填入文件名,其他保持默認(rèn),然后點(diǎn)擊OK,再點(diǎn)擊Finish。

此界面我們可以提前填入端口,選擇好端口方向以及位寬。然后軟件就可以幫我們寫好代碼的端口定義部分。如果不需要可以直接點(diǎn)擊OK。

再點(diǎn)擊YES。

新建好之后,會出現(xiàn)如下圖:

在Design Sources中,可以看到我們新建的文件。雙擊打開開始寫代碼。自動生成的代碼如下:

為了更好的向大家展示,我將代碼文件中的注釋先刪除掉。代碼如下:

為了驗(yàn)證代碼的正確性,還需要寫測試文件進(jìn)行仿真測試。仍然點(diǎn)擊+號新建文件,只不過這次我們選擇第三個選項(xiàng):

點(diǎn)擊NEXT;選擇Creat File:

點(diǎn)擊OK,點(diǎn)擊Finish。定義端口界面直接跳過,因?yàn)槲覀兊姆抡嫖募]有端口。

新建好之后,按照上圖所示,雙擊打開文件。代碼如下:

我們可以使用隨機(jī)數(shù)來產(chǎn)生我們需要的輸入,代碼寫完之后,我們可以直接打開仿真。

點(diǎn)擊如圖所示的選項(xiàng),打開軟件的仿真器。

 

仿真圖打開后,我們點(diǎn)擊如圖所示的按鈕,可以看到全部波形。在仿真圖中,我們可以看到后半部分波形沒有變化,這是因?yàn)槲覀冸S機(jī)數(shù)生成了20組,每組20ns,所以總時長為400ns。但是仿真軟件是默認(rèn)運(yùn)行1us的,所以就看到了如圖所示的情況,在此,大家可以隨意生成隨機(jī)數(shù)的個數(shù)。我們驗(yàn)證仿真時,只需要看前400ns的波形就可以了。按照波形顯示,我們可以驗(yàn)證到波形輸出與與門真值表相同,所以最終結(jié)果是正確的。至于下板驗(yàn)證后續(xù)再出相關(guān)文章說明。

賽靈思

賽靈思

賽靈思(英語:Xilinx)是一家位于美國的可編程邏輯器件的生產(chǎn)商。該公司發(fā)明了現(xiàn)場可編程邏輯門陣列,并由此成名。賽靈思還是第一個無廠半導(dǎo)體公司(Fabless)。28nm時代,賽靈思提出All Programmable 的概念,從單一的FPGA企業(yè)戰(zhàn)略轉(zhuǎn)型為All Programmable FPGA、 SoC 和 3D IC 的全球領(lǐng)先提供商。且行業(yè)領(lǐng)先的器件與新一代設(shè)計(jì)環(huán)境以及 IP 完美地整合在一起,可滿足客戶對可編程邏輯乃至可編程系統(tǒng)集成的廣泛需求賽靈思于1984年創(chuàng)建于美國加利福尼亞州的硅谷,總部位于硅谷核心的圣何塞,并在科羅拉多州、愛爾蘭、新加坡 印度、中國、日本擁有分支機(jī)構(gòu)

賽靈思(英語:Xilinx)是一家位于美國的可編程邏輯器件的生產(chǎn)商。該公司發(fā)明了現(xiàn)場可編程邏輯門陣列,并由此成名。賽靈思還是第一個無廠半導(dǎo)體公司(Fabless)。28nm時代,賽靈思提出All Programmable 的概念,從單一的FPGA企業(yè)戰(zhàn)略轉(zhuǎn)型為All Programmable FPGA、 SoC 和 3D IC 的全球領(lǐng)先提供商。且行業(yè)領(lǐng)先的器件與新一代設(shè)計(jì)環(huán)境以及 IP 完美地整合在一起,可滿足客戶對可編程邏輯乃至可編程系統(tǒng)集成的廣泛需求賽靈思于1984年創(chuàng)建于美國加利福尼亞州的硅谷,總部位于硅谷核心的圣何塞,并在科羅拉多州、愛爾蘭、新加坡 印度、中國、日本擁有分支機(jī)構(gòu)收起

查看更多

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

任何技術(shù)的學(xué)習(xí)就好比一個江湖,對于每一位俠客都需要不斷的歷練,從初入江湖的小白到歸隱山林的隱世高人,需要不斷的自我感悟自己修煉,讓我們一起仗劍闖FPGA乃至更大的江湖。